⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 sh_reg_1.vhd

📁 Stereo-Vision circuit description, Aug 2002, Ahmad Darabiha This design contains four top level ci
💻 VHD
字号:
-- Discription: this block creates a simple-- shift register -- date: July 27 ,2002-- By:  Ahmad darabiha-- copy from sh_reg.vhd changed to only 1-in-1-out library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity sh_reg_1 is 	generic(sh_reg_w :integer);	port(clk : in std_logic;		wen : in std_logic;		din_1 : in std_logic_vector(sh_reg_w-1 downto 0);		dout_1: out std_logic_vector(sh_reg_w-1 downto 0));end sh_reg_1;architecture arch_sh_reg_1 of sh_reg_1 is       	begin	process(clk) begin		if (clk'event and clk = '1') then			if wen = '1' then				dout_1 <= din_1;			end if;		end if;	end process;end arch_sh_reg_1;				    		

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -