📄 novas.rc
字号:
@verdi rc file Version 1.0[Library]work = ./work[Annotation]3D_Active_Annotation = FALSE[CommandSyntax.ikos]InvokeCommand = "setvar debussy true;elaborate -p ${SimTop} -s ${SimArch}; run until 0;fsdbInteractive; "FullFileName = TRUENeedTimeUnit = TRUENormalizeTimeUnit = TRUESeparator = /HierNameLevel = 2RunContinue = "run"Finish = "exit"NextTime = "run ${SimBPTime} ${SimTimeUnit}"NextNTime = "run for ${SimBPTime} ${SimTimeUnit}"NextEvent = "step 1"Reset = "reset"ObjPosBreak = "stop if ${SimBPObj} = \"'1'\""ObjNegBreak = "stop if ${SimBPObj} = \"'0'\""ObjAnyBreak = ObjLevelBreak = "stop if ${SimBPObj} = ${SimBPValue}"LineBreak = "stop at ${SimBPFile}:${SimBPLine}"AbsTimeBreak = RelTimeBreak = EnableBP = "enable ${SimBPId}"DisableBP = "disable ${SimBPId}"DeleteBP = "delete ${SimBPId}"DeleteAllBP = "delete *"[DesignTree]IfShowModule = {TRUE, FALSE}[DisabledMessages]version = 2006.04v1[Editor]editorName = Vi[Emacs]EmacsFont = "Clean 14"EmacsBG = grayEmacsFG = black[FSM]SmartTips = TRUEVectorFont = FALSEStopAskBkgndColor = FALSEShowStateAction = FALSEShowTransAction = FALSEShowTransCond = FALSEState-LineColor = ID_BLACKState-LineWidth = 1State-FillColor = ID_BLUE2State-TextColor = ID_WHITEInit_State-LineColor = ID_BLACKInit_State-LineWidth = 2Init_State-FillColor = ID_YELLOW2Init_State-TextColor = ID_BLACKTrap_State-LineColor = ID_RED2Trap_State-LineWidth = 2Trap_State-FillColor = ID_CYAN5Trap_State-TextColor = ID_RED2State_Action-LineColor = ID_BLACKState_Action-LineWidth = 1State_Action-FillColor = ID_WHITEState_Action-TextColor = ID_BLACKJunction-LineColor = ID_BLACKJunction-LineWidth = 1Junction-FillColor = ID_GREEN2Junction-TextColor = ID_BLACKConnection-LineColor = ID_BLACKConnection-LineWidth = 1Connection-FillColor = ID_GRAY5Connection-TextColor = ID_BLACKprefKey_Port-LineColor = ID_BLACKprefKey_Port-LineWidth = 1prefKey_Port-FillColor = ID_ORANGE6prefKey_Port-TextColor = ID_YELLOW2Transition-LineColor = ID_BLACKTransition-LineWidth = 1Transition-FillColor = ID_WHITETransition-TextColor = ID_BLACKTrans_Condition-LineColor = ID_BLACKTrans_Condition-LineWidth = 1Trans_Condition-FillColor = ID_WHITETrans_Condition-TextColor = ID_ORANGE2Trans_Action-LineColor = ID_BLACKTrans_Action-LineWidth = 1Trans_Action-FillColor = ID_WHITETrans_Action-TextColor = ID_GREEN2SelectedSet-LineColor = ID_RED2SelectedSet-LineWidth = 1SelectedSet-FillColor = ID_RED2SelectedSet-TextColor = ID_WHITEStickSet-LineColor = ID_ORANGE5StickSet-LineWidth = 1StickSet-FillColor = ID_PURPLE6StickSet-TextColor = ID_BLACKHilightSet-LineColor = ID_RED5HilightSet-LineWidth = 1HilightSet-FillColor = ID_RED7HilightSet-TextColor = ID_BLUE5ControlPoint-LineColor = ID_BLACKControlPoint-LineWidth = 1ControlPoint-FillColor = ID_WHITEBundle-LineColor = ID_BLACKBundle-LineWidth = 1Bundle-FillColor = ID_WHITEBundle-TextColor = ID_BLUE4Background-FillColor = ID_GRAY5prefKey_Link-LineColor = ID_GRAY5prefKey_Link-LineWidth = 1Selection-LineColor = ID_GRAY5Selection-LineWidth = 1viewport = 65 336 387 479WndBk-FillColor = Gray3prefKey_Link-FillColor = yellow4prefKey_Link-TextColor = blackTrap = red3Hilight = blue4Window = Gray3Selected = whiteTrans. = green2State = blackInit. = blackStateLable = NAMEStateValueRadix = ORIGReset_State-LineColor = ID_BLACKReset_State-LineWidth = 2Reset_State-FillColor = ID_YELLOW7Reset_State-TextColor = ID_BLACKHier_State-LineColor = ID_RED3Hier_State-LineWidth = 1Hier_State-FillColor = ID_YELLOW5Hier_State-TextColor = ID_BLUE5Comment_Linking-LineColor = ID_BLACKComment_Linking-LineWidth = 2Comment_Background-FillColor = ID_YELLOW4Revised_Object-LineColor = ID_PURPLE5Revised_Object-LineWidth = 2Comment_Graphic-LineColor = ID_YELLOW5Comment_Graphic-LineWidth = 1Comment_Graphic_Select-LineColor = ID_RED4Comment_Graphic_Select-LineWidth = 1Comment_Graphic_Ctrl_Pnt-LineColor = ID_GREEN5Comment_Graphic_Ctrl_Pnt-LineWidth = 1State_Name-FontName = DefaultState_Name-FontSize = 14State_Name-FontBold = FALSEState_Name-FontItalic = FALSEState_Name-FontOverride = FALSEInit_State_Name-FontName = DefaultInit_State_Name-FontSize = 14Init_State_Name-FontBold = FALSEInit_State_Name-FontItalic = FALSEInit_State_Name-FontOverride = FALSEReset_State_Name-FontName = DefaultReset_State_Name-FontSize = 14Reset_State_Name-FontBold = FALSEReset_State_Name-FontItalic = FALSEReset_State_Name-FontOverride = FALSETrap_State_Name-FontName = DefaultTrap_State_Name-FontSize = 14Trap_State_Name-FontBold = FALSETrap_State_Name-FontItalic = FALSETrap_State_Name-FontOverride = FALSEHier_State_Name-FontName = DefaultHier_State_Name-FontSize = 14Hier_State_Name-FontBold = FALSEHier_State_Name-FontItalic = FALSEHier_State_Name-FontOverride = FALSEState_Action-FontName = DefaultState_Action-FontSize = 6State_Action-FontBold = FALSEState_Action-FontItalic = FALSEState_Action-FontOverride = FALSETrans_Condition-FontName = DefaultTrans_Condition-FontSize = 6Trans_Condition-FontBold = FALSETrans_Condition-FontItalic = FALSETrans_Condition-FontOverride = FALSETrans_Action-FontName = DefaultTrans_Action-FontSize = 6Trans_Action-FontBold = FALSETrans_Action-FontItalic = FALSETrans_Action-FontOverride = FALSEPort_Name-FontName = DefaultPort_Name-FontSize = 6Port_Name-FontBold = FALSEPort_Name-FontItalic = FALSEPort_Name-FontOverride = FALSEComment-FontName = DefaultComment-FontSize = 12Comment-FontColor = ID_BLUE3Comment-FontBold = FALSEComment-FontItalic = FALSEComment-FontOverride = FALSE[FSM_Dlg-Print]Orientation = Landscape [Form]wave/getSignal.fm = 284,123,856,560version = 2006.04v1wave/waveTopWin.fm = 0,48,1680,977hb/hbTopWin.fm = 400,167,1024,694wave/openWFile.fm = 100,100,723,565wave/restoreSig.fm = 100,100,723,535wave/saveSig.fm = 100,100,723,535[General]version = 2006.04v1SignalCaseInSensitive = FALSE[HB]windowLayout = 396 145 1024 694 182 460 1024 148ViewSchematic = FALSEimport_filter = *.v; *.vc; *.fdesignTreeFont = -adobe-helvetica-medium-r-normal--14-*-75-75-*-*-iso8859-*import_filter_vhdl = *.vhd; *.vhdl; *.fimport_default_language = Verilogimport_filter_verilog = *.v; *.vc; *.fsimulation_file_type = *.fsdb;*.ff;*.dump[Library.Ikos]pack = ./work.lib++vital = ./work.lib++work = ./work.lib++std = ${dls_std}.lib++ieee = ${dls_ieee}.lib++synopsys = ${dls_synopsys}.lib++silc = ${dls_silc}.lib++ikos = ${dls_ikos}.lib++novas = ${VOYAGER_LIB_VHDL}/${VOYAGER_MACHINE}/novas.lib++[OtherEditor]cmd1 = "xterm -font 9x15 -fg black -bg gray -e"name = "vi"options = "+${CurLine} ${CurFileName}"[Print]PrinterName = lpFileName = test.psPaperSize = A4 - 210x297 (mm)ColorPrint = FALSE[Relationship]hideRecursiceNode = FALSE[Session Cache]1 = string (session file name)2 = string (session file name)3 = string (session file name)4 = string (session file name)5 = string (session file name)[Simulation]scsOption = xlOption = vcsOption = mtiOption = speedsimPath = speedsimOption = scsPath = scsimxlPath = verilogncPath = ncsimncOption = -f ncsim.argsvcsPath = simvmtiPath = vsimvhncPath = ncsimvhncOption = -log debussy.nc.logmixncPath = ncsimmixncOption = -log debussy.mixnc.loginteractiveDebugging = {True, False}KeepBreakPoints = FalseScsDebugAll = FalsesimType = {xl, nc, vcs, mti, vhnc, scs, mixnc}thirdpartyIdx = -1iscCmdSep = FALSE[SimulationPlus]xlOption = vcsOption = mti_vlogOption = mtiOption = speedsimOption = scsOption = vcs_mixOption = scs_mixOption = vcs_svOption = invokeSimPath = workxlPath = verilogncPath = ncsimncOption = -f ncsim.argsvcsPath = simvmti_vlogPath = verilogmtiPath = vsimvhncPath = ncsimvhncOption = -log debussy.nc.logspeedsimPath = verilogmixncPath = ncsimmixncOption = -log debussy.mixnc.logscsPath = scsimvcs_mixPath = verilogscs_mixPath = verilogvcs_svPath = verilogsimType = xlthirdpartyIdx = -1interactiveDebugging = FALSEKeepBreakPoints = FALSEiscCmdSep = FALSEScsDebugAll = FALSENoAppendOption = FALSE[Text]hdlComment = ID_GRAY1hdlKeyword = ID_BLUE5hdlEntity = ID_BLACKhdlEntityInst = ID_BLACKhdlSignal = ID_RED2hdlInSignal = ID_RED2hdlOutSignal = ID_RED2hdlInOutSignal = ID_RED2hdlOperator = ID_BLACKhdlMinus = ID_BLACKhdlSymbol = ID_BLACKhdlString = ID_BLACKhdlNumberBase = ID_BLACKhdlNumber = ID_BLACKhdlLiteral = ID_BLACKhdlIdentifier = ID_BLACKhdlSystemTask = ID_BLACKhdlParameter = ID_BLACKhdlIncFile = ID_BLACKhdlDataFile = ID_BLACKhdlCDSkipIf = ID_GRAY1hdlMacro = ID_BLACKhdlPlainText = ID_BLACKhdlOvaId = ID_PURPLE2hdlPslId = ID_PURPLE2hdlEscSignal = ID_BLACKhdlEscInSignal = ID_BLACKhdlEscOutSignal = ID_BLACKhdlEscInOutSignal = ID_BLACKtextBackground = ID_GRAY5textHiliteBK = ID_BLUE5textHiliteText = ID_WHITEtextTracedMark = ID_GREEN2textLineNo = ID_BLACKtextFoldedLineNo = ID_RED5textUserKeyword = ID_GREEN2textParaAnnotText = ID_BLACKtextAnnotText = ID_BLACKtextAnnotTextShadow = ID_WHITEtext3DLight = ID_WHITEtext3DShadow = ID_BLACKtextHvlDriver = ID_GREEN3textHvlLoad = ID_YELLOW3textHvlDriverLoad = ID_BLUE3irOutline = ID_RED5irDriver = ID_YELLOW5irLoad = ID_BLACKirBookMark = ID_CYAN5irIndicator = ID_WHITEirBreakpoint = ID_GREEN5irCurLine = ID_BLUE5hdlVhEntity = ID_BLACKhdlArchitecture = ID_BLACKhdlPackage = ID_BLUE5hdlAlias = ID_BLUE5hdlGeneric = ID_BLUE5hdlZeroInHead = ID_GREEN2hdlZeroInComment = ID_GREEN2hdlPslHead = ID_GREEN2hdlPslComment = ID_GREEN2hdlSynopsysHead = ID_GREEN2hdlSynopsysComment = ID_GREEN2font = SMALL12hdlTypeName = blue4hdlLibrary = blue4viewport = 396 392 445 487[Text.1]viewport = 396 145 1024 694 45[TextPrinter]Orientation = LandscapeIndicator = FALSELineNum = TRUEFontSize = 7Column = 2Annotation = TRUE[Texteditor]TexteditorFont = "Clean 14"TexteditorBG = grayTexteditorFG = black[ThirdParty]ThirdPartySimTool = axis surefire ikos[TurboEditor]autoBackup = TRUE[UserButton.mixnc]Button1 = "Dump All Signals" "call fsdbDumpvars\n"Button2 = "Next 1000 Time" "run 1000 -relative\n"Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n"Button4 = "Run Next" "run -next\n"Button5 = "Run Step" "run -step\n"Button6 = "Run Return" "run -return\n"Button7 = "Show Variables" "value ${NCSelVars}\n"Button8 = "FSDB Ver" "call fsdbVersion"Button9 = "Dump On" "call fsdbDumpon"Button10 = "Dump Off" "call fsdbDumpoff"Button11 = "All Tasks" "call"Button12 = "Dump Selected Instance" "call fsdbDumpvars 1 ${SelInst}"[UserButton.mti]Button1 = "Dump All Signals" "fsdbDumpvars\n"Button2 = "Next 1000 Time" "run 1000\n"Button3 = "Next ? Time" "run ${Arg:Next Time}\n"Button4 = "Show Variables" "exa ${SelVars}\n"Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n"Button6 = "Release Variable" "noforce ${SelVar}\n"Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n"[UserButton.nc]Button1 = "Dump All Signals" "call fsdbDumpvars\n"Button2 = "Next 1000 Time" "run 1000 -relative\n"Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n"Button4 = "Run Next" "run -next\n"Button5 = "Run Step" "run -step\n"Button6 = "Run Return" "run -return\n"Button7 = "Show Variables" "value {${NCSelVars}}\n"[UserButton.scs]Button1 = "Dump All Signals" "call fsdbDumpvars(0, \"${TopScope}\");\n"Button2 = "Next 1000 Time" "run 1000 \n"Button3 = "Next ? Time" "run ${Arg:Next Time} \n"Button4 = "Run Step" "step\n"Button5 = "Show Variables" "ls -v {${SelVars}}\n"[UserButton.vhnc]Button1 = "Dump All Signals" "call fsdbDumpvars\n"Button2 = "Next 1000 Time" "run 1000 -relative\n"Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n"Button4 = "Run Next" "run -next\n"Button5 = "Run Step" "run -step\n"Button6 = "Run Return" "run -return\n"Button7 = "Show Variables" "value {${NCSelVars}}\n"[UserButton.xl]Button13 = "Dump Off" "$fsdbDumpoff;\n"Button12 = "Dump On" "$fsdbDumpon;\n"Button11 = "Delete Focus" "$db_deletefocus(${treeSelScope});\n"Button10 = "Set Focus" "$db_setfocus(${treeSelScope});\n"Button9 = "Deposit Variable" "$deposit(${SelVar},${Arg:New Value});\n"Button8 = "Release Variable" "release ${SelVar};\n"Button7 = "Force Variable" "force ${SelVar} = ${Arg:New Value};\n"Button6 = "Show Variables" "$showvars(${SelVars});\n"Button5 = "Next ? Event" "$db_step(${Arg:Next Event});\n"Button4 = "Next Event" "$db_step(1);\n"Button3 = "Next ? Time" "#${Arg:Next Time} $stop;.\n"Button2 = "Next 1000 Time" "#1000 $stop;.\n"Button1 = "Dump All Signals" "$fsdbDumpvars;\n"[Vi]ViFont = "Clean 14"ViBG = grayViFG = black[Wave]SigGroupRuleFile = viewPort = 54 236 960 332 100 65signalSpacing = 3
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -