📄 add.vhd
字号:
-- Description : final add block for CLA (1 bit add)
library IEEE;
library work;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity add is port (
c : in std_logic;
t : in std_logic;
g : in std_logic;
z : out std_logic);
end add;
architecture behavioral of add is
begin
z <= (not g and t) xor c ;
end behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -