⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 lut.vhd

📁 last cordic for immplemantaion of cordic with vhdl language it has testbench
💻 VHD
字号:
-- Description : look up table of arctan(2^-i)

library IEEE;
library work;
 use IEEE.STD_LOGIC_1164.ALL;
 use IEEE.STD_LOGIC_ARITH.ALL;
 use IEEE.STD_LOGIC_UNSIGNED.ALL;
 use work.all;
 entity lut is port (
 i : in integer range 0 to 63;
 lut_out :out std_logic_vector(63 downto 0) );
 end lut;

 architecture behavioral of lut is

 subtype word is std_logic_vector(63 downto 0);
 type lut_type is array (0 to 63) of word;

 constant table : lut_type := (
 
   "00"&"11001001000011111101101010100010001000010110100011000010001101",
   "00"&"01110110101100011001110000010101100001101110110100111101101000",
   "00"&"00111110101101101110101111110010010110010000000110111010110001",
   "00"&"00011111110101011011101010011010101011000010111101101101110001",
   "00"&"00001111111110101010110111011011100101100111111011110100111000",
   "00"&"00000111111111110101010101101110111010100101110110001001001010",
   "00"&"00000011111111111110101010101011011101110110111001010011010101",
   "00"&"00000001111111111111110101010101010110111011101110101001011100",
   "00"&"00000000111111111111111110101010101010101101110111011101101110",
   "00"&"00000000011111111111111111110101010101010101011011101110111011",
   "00"&"00000000001111111111111111111110101010101010101010110111011101",
   "00"&"00000000000111111111111111111111110101010101010101010101101111",
   "00"&"00000000000011111111111111111111111110101010101010101010101011",
   "00"&"00000000000001111111111111111111111111110101010101010101010101",
   "00"&"00000000000000111111111111111111111111111110101010101010101010",
   "00"&"00000000000000011111111111111111111111111111110101010101010101",
   "00"&"00000000000000001111111111111111111111111111111110101010101010",
   "00"&"00000000000000000111111111111111111111111111111111110101010101",
   "00"&"00000000000000000011111111111111111111111111111111111110101010",
   "00"&"00000000000000000001111111111111111111111111111111111111110101",
   "00"&"00000000000000000000111111111111111111111111111111111111111110",
   "00"&"00000000000000000000011111111111111111111111111111111111111111",
   "00"&"00000000000000000000010000000000000000000000000000000000000000",
   "00"&"00000000000000000000001000000000000000000000000000000000000000",
   "00"&"00000000000000000000000100000000000000000000000000000000000000",
   "00"&"00000000000000000000000010000000000000000000000000000000000000",
   "00"&"00000000000000000000000001000000000000000000000000000000000000",
   "00"&"00000000000000000000000000100000000000000000000000000000000000",
   "00"&"00000000000000000000000000010000000000000000000000000000000000",
   "00"&"00000000000000000000000000001000000000000000000000000000000000",
   "00"&"00000000000000000000000000000100000000000000000000000000000000",
   "00"&"00000000000000000000000000000010000000000000000000000000000000",
   "00"&"00000000000000000000000000000001000000000000000000000000000000",
   "00"&"00000000000000000000000000000000100000000000000000000000000000",
   "00"&"00000000000000000000000000000000010000000000000000000000000000",
   "00"&"00000000000000000000000000000000001000000000000000000000000000",
   "00"&"00000000000000000000000000000000000100000000000000000000000000",
   "00"&"00000000000000000000000000000000000010000000000000000000000000",
   "00"&"00000000000000000000000000000000000001000000000000000000000000",
   "00"&"00000000000000000000000000000000000000100000000000000000000000",
   "00"&"00000000000000000000000000000000000000010000000000000000000000",
   "00"&"00000000000000000000000000000000000000001000000000000000000000",
   "00"&"00000000000000000000000000000000000000000100000000000000000000",
   "00"&"00000000000000000000000000000000000000000010000000000000000000",
   "00"&"00000000000000000000000000000000000000000001000000000000000000",
   "00"&"00000000000000000000000000000000000000000000100000000000000000",
   "00"&"00000000000000000000000000000000000000000000010000000000000000",
   "00"&"00000000000000000000000000000000000000000000001000000000000000",
   "00"&"00000000000000000000000000000000000000000000000100000000000000",
   "00"&"00000000000000000000000000000000000000000000000010000000000000",
   "00"&"00000000000000000000000000000000000000000000000001000000000000",
   "00"&"00000000000000000000000000000000000000000000000000100000000000",
   "00"&"00000000000000000000000000000000000000000000000000010000000000",
   "00"&"00000000000000000000000000000000000000000000000000001000000000",
   "00"&"00000000000000000000000000000000000000000000000000000100000000",
   "00"&"00000000000000000000000000000000000000000000000000000010000000",
   "00"&"00000000000000000000000000000000000000000000000000000001000000",
   "00"&"00000000000000000000000000000000000000000000000000000000100000",
   "00"&"00000000000000000000000000000000000000000000000000000000010000",
   "00"&"00000000000000000000000000000000000000000000000000000000001000",
   "00"&"00000000000000000000000000000000000000000000000000000000000100",
   "00"&"00000000000000000000000000000000000000000000000000000000000010",
   "00"&"00000000000000000000000000000000000000000000000000000000000001",
   "00"&"00000000000000000000000000000000000000000000000000000000000000");

 begin

 process(i)
 begin
 for j in 0 to 63 loop
     lut_out(j)<=table(i)(j);
end loop;
 end process;

 end behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -