⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 dactext.vhd

📁 采用VHDL语言写了一个函数发生器的程序。内含有各个模块
💻 VHD
字号:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity dactext is
  PORT ( clock: IN STD_LOGIC;
        
       DD : out std_logic_vector(8 downto 0)
		);
end dactext;

architecture Behavioral of dactext is
SIGNAL   Qt : INTEGER RANGE 360 DOWNTO 0 ;
 SIGNAL   D : INTEGER RANGE 255 DOWNTO 0 ;
 signal clk:std_logic;
BEGIN


    PROCESS(clock,Qt)
    BEGIN
	    
	  IF Qt = 360 THEN Qt<=0;                        
        elsif (clock'event AND clock = '1') THEN  Qt <= Qt + 1;
 

		  end if;
    END PROCESS;
  PROCESS(Qt)
BEGIN
 CASE Qt IS       

 
 when 000=> D<=128; when 001=> D<=130; when 002=> D<=132; when 003=> D<=135; when 004=> D<=137; when 005=> D<=139; when 006=> D<=141; when 007=> D<=144; when 008=> D<=146; when 009=> D<=148;
 when 010=> D<=150; when 011=> D<=152; when 012=> D<=155; when 013=> D<=157; when 014=> D<=159; when 015=> D<=161; when 016=> D<=163; when 017=> D<=165; when 018=> D<=168; when 019=> D<=170;
 when 020=> D<=172; when 021=> D<=174; when 022=> D<=176; when 023=> D<=178; when 024=> D<=180; when 025=> D<=182; when 026=> D<=184; when 027=> D<=186; when 028=> D<=188; when 029=> D<=190;
 when 030=> D<=192; when 031=> D<=194; when 032=> D<=196; when 033=> D<=198; when 034=> D<=200; when 035=> D<=201; when 036=> D<=203; when 037=> D<=205; when 038=> D<=207; when 039=> D<=209;
 when 040=> D<=210; when 041=> D<=212; when 042=> D<=214; when 043=> D<=215; when 044=> D<=217; when 045=> D<=219; when 046=> D<=220; when 047=> D<=222; when 048=> D<=223; when 049=> D<=225;
 when 050=> D<=226; when 051=> D<=227; when 052=> D<=229; when 053=> D<=230; when 054=> D<=232; when 055=> D<=233; when 056=> D<=234; when 057=> D<=235; when 058=> D<=237; when 059=> D<=238;
 when 060=> D<=239; when 061=> D<=240; when 062=> D<=241; when 063=> D<=242; when 064=> D<=243; when 065=> D<=244; when 066=> D<=245; when 067=> D<=246; when 068=> D<=247; when 069=> D<=247;
 when 070=> D<=248; when 071=> D<=249; when 072=> D<=250; when 073=> D<=250; when 074=> D<=251; when 075=> D<=252; when 076=> D<=252; when 077=> D<=253; when 078=> D<=253; when 079=> D<=254;
 when 080=> D<=254; when 081=> D<=254; when 082=> D<=255; when 083=> D<=255; when 084=> D<=255; when 085=> D<=255; when 086=> D<=255; when 087=> D<=255; when 088=> D<=255; when 089=> D<=255;
 when 090=> D<=255; when 091=> D<=255; when 092=> D<=255; when 093=> D<=255; when 094=> D<=255; when 095=> D<=255; when 096=> D<=255; when 097=> D<=255; when 098=> D<=255; when 099=> D<=254;
 when 100=> D<=254; when 101=> D<=254; when 102=> D<=253; when 103=> D<=253; when 104=> D<=252; when 105=> D<=252; when 106=> D<=251; when 107=> D<=250; when 108=> D<=250; when 109=> D<=249;
 when 110=> D<=248; when 111=> D<=247; when 112=> D<=247; when 113=> D<=246; when 114=> D<=245; when 115=> D<=244; when 116=> D<=243; when 117=> D<=242; when 118=> D<=241; when 119=> D<=240;
 when 120=> D<=239; when 121=> D<=238; when 122=> D<=237; when 123=> D<=235; when 124=> D<=234; when 125=> D<=233; when 126=> D<=232; when 127=> D<=230; when 128=> D<=229; when 129=> D<=227;
 when 130=> D<=226; when 131=> D<=225; when 132=> D<=223; when 133=> D<=222; when 134=> D<=220; when 135=> D<=219; when 136=> D<=217; when 137=> D<=215; when 138=> D<=214; when 139=> D<=212;
 when 140=> D<=210; when 141=> D<=209; when 142=> D<=207; when 143=> D<=205; when 144=> D<=203; when 145=> D<=201; when 146=> D<=200; when 147=> D<=198; when 148=> D<=196; when 149=> D<=194;
 when 150=> D<=192; when 151=> D<=190; when 152=> D<=188; when 153=> D<=186; when 154=> D<=184; when 155=> D<=182; when 156=> D<=180; when 157=> D<=178; when 158=> D<=176; when 159=> D<=174;
 when 160=> D<=172; when 161=> D<=170; when 162=> D<=168; when 163=> D<=165; when 164=> D<=163; when 165=> D<=161; when 166=> D<=159; when 167=> D<=157; when 168=> D<=155; when 169=> D<=152;
 when 170=> D<=150; when 171=> D<=148; when 172=> D<=146; when 173=> D<=144; when 174=> D<=141; when 175=> D<=139; when 176=> D<=137; when 177=> D<=135; when 178=> D<=132; when 179=> D<=130;
 when 180=> D<=128; when 181=> D<=126; when 182=> D<=124; when 183=> D<=121; when 184=> D<=119; when 185=> D<=117; when 186=> D<=115; when 187=> D<=112; when 188=> D<=110; when 189=> D<=108;
 when 190=> D<=106; when 191=> D<=104; when 192=> D<=101; when 193=> D<=99; when 194=> D<=97; when 195=> D<=95; when 196=> D<=93; when 197=> D<=91; when 198=> D<=88; when 199=> D<=86;
 when 200=> D<=84; when 201=> D<=82; when 202=> D<=80; when 203=> D<=78; when 204=> D<=76; when 205=> D<=74; when 206=> D<=72; when 207=> D<=70; when 208=> D<=68; when 209=> D<=66;
 when 210=> D<=64; when 211=> D<=62; when 212=> D<=60; when 213=> D<=58; when 214=> D<=56; when 215=> D<=55; when 216=> D<=53; when 217=> D<=51; when 218=> D<=49; when 219=> D<=47;
 when 220=> D<=46; when 221=> D<=44; when 222=> D<=42; when 223=> D<=41; when 224=> D<=39; when 225=> D<=37; when 226=> D<=36; when 227=> D<=34; when 228=> D<=33; when 229=> D<=31;
 when 230=> D<=30; when 231=> D<=29; when 232=> D<=27; when 233=> D<=26; when 234=> D<=24; when 235=> D<=23; when 236=> D<=22; when 237=> D<=21; when 238=> D<=19; when 239=> D<=18;
 when 240=> D<=17; when 241=> D<=16; when 242=> D<=15; when 243=> D<=14; when 244=> D<=13; when 245=> D<=12; when 246=> D<=11; when 247=> D<=10; when 248=> D<=9; when 249=> D<=9;
 when 250=> D<=8; when 251=> D<=7; when 252=> D<=6; when 253=> D<=6; when 254=> D<=5; when 255=> D<=4; when 256=> D<=4; when 257=> D<=3; when 258=> D<=3; when 259=> D<=2;
 when 260=> D<=2; when 261=> D<=2; when 262=> D<=1; when 263=> D<=1; when 264=> D<=1; when 265=> D<=0; when 266=> D<=0; when 267=> D<=0; when 268=> D<=0; when 269=> D<=0;
 when 270=> D<=0; when 271=> D<=0; when 272=> D<=0; when 273=> D<=0; when 274=> D<=0; when 275=> D<=0; when 276=> D<=1; when 277=> D<=1; when 278=> D<=1; when 279=> D<=2;
 when 280=> D<=2; when 281=> D<=2; when 282=> D<=3; when 283=> D<=3; when 284=> D<=4; when 285=> D<=4; when 286=> D<=5; when 287=> D<=6; when 288=> D<=6; when 289=> D<=7;
 when 290=> D<=8; when 291=> D<=9; when 292=> D<=9; when 293=> D<=10; when 294=> D<=11; when 295=> D<=12; when 296=> D<=13; when 297=> D<=14; when 298=> D<=15; when 299=> D<=16;
 when 300=> D<=17; when 301=> D<=18; when 302=> D<=19; when 303=> D<=21; when 304=> D<=22; when 305=> D<=23; when 306=> D<=24; when 307=> D<=26; when 308=> D<=27; when 309=> D<=29;
 when 310=> D<=30; when 311=> D<=31; when 312=> D<=33; when 313=> D<=34; when 314=> D<=36; when 315=> D<=37; when 316=> D<=39; when 317=> D<=41; when 318=> D<=42; when 319=> D<=44;
 when 320=> D<=46; when 321=> D<=47; when 322=> D<=49; when 323=> D<=51; when 324=> D<=53; when 325=> D<=55; when 326=> D<=56; when 327=> D<=58; when 328=> D<=60; when 329=> D<=62;
 when 330=> D<=64; when 331=> D<=66; when 332=> D<=68; when 333=> D<=70; when 334=> D<=72; when 335=> D<=74; when 336=> D<=76; when 337=> D<=78; when 338=> D<=80; when 339=> D<=82;
 when 340=> D<=84; when 341=> D<=86; when 342=> D<=88; when 343=> D<=91; when 344=> D<=93; when 345=> D<=95; when 346=> D<=97; when 347=> D<=99; when 348=> D<=101; when 349=> D<=104;
 when 350=> D<=106; when 351=> D<=108; when 352=> D<=110; when 353=> D<=112; when 354=> D<=115; when 355=> D<=117; when 356=> D<=119; when 357=> D<=121; when 358=> D<=124; when 359=> D<=126;
 when 360=> D<= 128;
WHEN OTHERS => NULL ;

end case;
END PROCESS;
 DD <= conv_std_logic_vector(D,9) ; 
end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -