📄 suocunqi4_m.vhd
字号:
--_______________________________________________________________________
-- suocunqi4_m.vhd 用于锁存预置密码( _m: memory)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity suocunqi4_m is
port( key_in : in std_logic_vector(3 downto 0); ---shu ru
rst : in std_logic ; ---fu wei
cs : in std_logic ; ---pian xuan
oe : in std_logic ; ---shu chu yu xu
key_out : out std_logic_vector(3 downto 0) ); ---shu chu
end ;
architecture one of suocunqi4_m is
begin
--***
process(RST,CS,OE)
begin
if rst='1' then key_out <= "1111";
elsif cs='1' then
if oe='1' and oe'event then key_out <= key_in; end if;
end if;
end process;
end;
--***
--_______________________________________________________________________
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -