⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 primitive.vhd

📁 一部分简单时序逻辑电路的VHDL源代码
💻 VHD
字号:
library IEEE;use IEEE.std_logic_1164.all;package primitive is  component DFFE port (    d: in std_logic;    q: out std_logic;    en: in std_logic;    clk: in std_logic    );  end component;  component DLATCHH port (    d: in std_logic;    en: in std_logic;    q: out std_logic    );  end component;end package;library IEEE;use IEEE.std_logic_1164.all;entity DFFE is port (    d: in std_logic;    q: out std_logic;    en: in std_logic;    clk: in std_logic    );end DFFE;architecture rtl of DFFE isbegin  process begin    wait until clk = '1';      if (en = '1') then        q <= d;      end if;  end process;end rtl;library IEEE;use IEEE.std_logic_1164.all;entity DLATCHH is port (    d: in std_logic;    en: in std_logic;    q: out std_logic    );end DLATCHH;architecture rtl of DLATCHH isbegin  process (en) begin    if (en = '1') then      q <= d;    end if;  end process;end rtl;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -