📄 transcript
字号:
# Reading C:/Modeltech_xe_starter_V6/tcl/vsim/pref.tcl
# do picoblaze_trafficlight_tb_vhd.fdo
# ** Warning: (vlib-34) Library already exists at "work".
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity picoblazeinput
# -- Compiling architecture behavioral of picoblazeinput
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity picoblazeoutput
# -- Compiling architecture behavioral of picoblazeoutput
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package vcomponents
# -- Compiling entity kcpsm3
# -- Compiling architecture low_level_definition of kcpsm3
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package vcomponents
# -- Compiling entity smachine
# -- Compiling architecture low_level_definition of smachine
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity embedded_kcpsm3
# -- Compiling architecture connectivity of embedded_kcpsm3
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity clockdivider
# -- Compiling architecture behavioral of clockdivider
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity countdelay
# -- Compiling architecture behavioral of countdelay
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity seconddelay
# -- Compiling architecture connectivity of seconddelay
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity picoblaze_trafficlight
# -- Compiling architecture connectivity of picoblaze_trafficlight
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity picoblaze_trafficlight_tb_vhd
# -- Compiling architecture behavior of picoblaze_trafficlight_tb_vhd
# vsim -lib work -t 1ps picoblaze_trafficlight_tb_vhd
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../std.standard
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.std_logic_1164(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.std_logic_arith(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.std_logic_unsigned(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.numeric_std(body)
# Loading work.picoblaze_trafficlight_tb_vhd(behavior)
# Loading work.picoblaze_trafficlight(connectivity)
# Loading work.picoblazeinput(behavioral)
# Loading work.picoblazeoutput(behavioral)
# Loading work.embedded_kcpsm3(connectivity)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.vcomponents
# Loading work.kcpsm3(low_level_definition)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut1(lut1_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fdr(fdr_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fds(fds_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut4(lut4_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fd(fd_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fde(fde_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut3(lut3_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fdre(fdre_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut2(lut2_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.muxcy(muxcy_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.xorcy(xorcy_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.inv(inv_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fdrse(fdrse_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../std.textio(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.vital_timing(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.vital_primitives(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.vpkg(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ram16x1d(ram16x1d_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ram64x1s(ram64x1s_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.muxf5(muxf5_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ram32x1s(ram32x1s_v)
# Loading work.smachine(low_level_definition)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ramb16_s18(ramb16_s18_v)
# Loading work.seconddelay(connectivity)
# Loading work.clockdivider(behavioral)
# Loading work.countdelay(behavioral)
# .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs
# .main_pane.workspace
# .main_pane.signals.interior.cs
dir
# Volume in drive C is hard drive
# Volume Serial Number is C020-4B81
#
# Directory of C:\XUP_ESF_Final\PicoBlaze\Traffic_Light\Xilinx_ISE
#
# 08/01/2006 18:46 <DIR> .
# 08/01/2006 18:46 <DIR> ..
# 08/01/2006 18:45 0 automake.log
# 19/12/2005 11:01 1,652 clockdivider.vhd
# 19/12/2005 11:01 1,467 countdelay.vhd
# 07/12/2005 15:12 446 default.ipf
# 07/10/2005 15:30 3,544 embedded_kcpsm3.vhd
# 07/10/2005 15:20 67,765 kcpsm3.vhd
# 05/12/2005 11:25 6,043 modelsim.ini
# 19/12/2005 11:02 2,003 PicoBlazeInput.vhd
# 19/12/2005 11:02 1,938 PicoBlazeOutput.vhd
# 08/01/2006 13:54 93 picoblaze_trafficlight.cmd_log
# 08/01/2006 18:45 25,853 PicoBlaze_TrafficLight.dhp
# 08/01/2006 18:45 4,875 PicoBlaze_TrafficLight.ise
# 08/01/2006 18:45 4,875 PicoBlaze_TrafficLight.ise_ISE_Backup
# 08/01/2006 13:54 6 picoblaze_trafficlight.lso
# 08/01/2006 13:55 105,315 picoblaze_trafficlight.ngr
# 08/01/2006 13:54 275 picoblaze_trafficlight.prj
# 08/01/2006 13:55 0 picoblaze_trafficlight.stx
# 08/01/2006 13:55 77,832 picoblaze_trafficlight.syr
# 19/12/2005 11:02 1,546 picoblaze_trafficlight.ucf
# 19/12/2005 11:02 4,969 PicoBlaze_TrafficLight.vhd
# 19/12/2005 11:02 2,336 PicoBlaze_TrafficLight_ModelSim.fdo
# 08/01/2006 13:55 2,773 picoblaze_trafficlight_summary.html
# 19/12/2005 11:02 2,430 PicoBlaze_TrafficLight_TB.vhd
# 08/01/2006 18:45 697 picoblaze_trafficlight_tb_vhd.fdo
# 29/11/2005 14:39 218 picoblaze_trafficlight_tb_vhd.udo
# 19/12/2005 11:03 1,813 seconddelay.vhd
# 11/10/2005 11:24 19,293 SMACHINE.VHD
# 28/11/2005 14:03 30,744 TrafficLightFSM.dhp
# 08/01/2006 18:46 6,385 transcript
# 05/12/2005 11:25 6 vlog.opt
# 08/01/2006 18:46 32,768 vsim.wlf
# 08/01/2006 18:46 <DIR> work
# 08/01/2006 13:54 <DIR> xst
# 12/12/2005 09:59 <DIR> _ngo
# 12/12/2005 09:59 <DIR> _xmsgs
# 08/01/2006 18:45 222 __ISE_repository_PicoBlaze_TrafficLight.ise_.lock
# 08/01/2006 13:55 <DIR> __projnav
# 08/01/2006 18:45 603,745 __projnav.log
# 33 File(s) 1,013,927 bytes
# 7 Dir(s) 10,467,848,192 bytes free
do PicoBlaze_TrafficLight_ModelSim.fdo
# ** Warning: (vlib-34) Library already exists at "work".
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity picoblazeinput
# -- Compiling architecture behavioral of picoblazeinput
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity picoblazeoutput
# -- Compiling architecture behavioral of picoblazeoutput
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package vcomponents
# -- Compiling entity kcpsm3
# -- Compiling architecture low_level_definition of kcpsm3
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package vcomponents
# -- Compiling entity smachine
# -- Compiling architecture low_level_definition of smachine
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity embedded_kcpsm3
# -- Compiling architecture connectivity of embedded_kcpsm3
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity clockdivider
# -- Compiling architecture behavioral of clockdivider
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity countdelay
# -- Compiling architecture behavioral of countdelay
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity seconddelay
# -- Compiling architecture connectivity of seconddelay
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity picoblaze_trafficlight
# -- Compiling architecture connectivity of picoblaze_trafficlight
# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity picoblaze_trafficlight_tb_vhd
# -- Compiling architecture behavior of picoblaze_trafficlight_tb_vhd
# vsim -lib work -t 1ps picoblaze_trafficlight_tb_vhd
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../std.standard
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.std_logic_1164(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.std_logic_arith(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.std_logic_unsigned(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.numeric_std(body)
# Loading work.picoblaze_trafficlight_tb_vhd(behavior)
# Loading work.picoblaze_trafficlight(connectivity)
# Loading work.picoblazeinput(behavioral)
# Loading work.picoblazeoutput(behavioral)
# Loading work.embedded_kcpsm3(connectivity)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.vcomponents
# Loading work.kcpsm3(low_level_definition)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut1(lut1_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fdr(fdr_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fds(fds_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut4(lut4_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fd(fd_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fde(fde_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut3(lut3_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fdre(fdre_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.lut2(lut2_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.muxcy(muxcy_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.xorcy(xorcy_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.inv(inv_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.fdrse(fdrse_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../std.textio(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.vital_timing(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../ieee.vital_primitives(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.vpkg(body)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ram16x1d(ram16x1d_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ram64x1s(ram64x1s_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.muxf5(muxf5_v)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ram32x1s(ram32x1s_v)
# Loading work.smachine(low_level_definition)
# Loading C:\Modeltech_xe_starter_V6\win32xoem/../xilinx/vhdl/unisim.ramb16_s18(ramb16_s18_v)
# Loading work.seconddelay(connectivity)
# Loading work.clockdivider(behavioral)
# Loading work.countdelay(behavioral)
# .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs
# .main_pane.workspace
# .main_pane.signals.interior.cs
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -