⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 test_logic.v

📁 组成原理大作业--基于MIPS的运算器设计
💻 V
字号:
module test_logic;
reg [31:0] op;
reg clock;
wire [31:0] result;
wire overflow,zero,pn;
arithmetic calca(op,clock,result,overflow,zero,pn);
always begin #25 clock=~clock;end
initial
	begin
		clock=1;
		$monitor("test arithmetic",$time,,,
		"op=%b,clock=%b,result=%b,overflow=%b,zero=%b,pn=%b",op,clock,result,overflow,zero,pn);
		#50 op='b00111100000000000001001000110100; // lui s0,1234
		#50 op='b00110100000000000101011001111000; // ori s0,s0,5678
		#50 op='b00111100001000010001001101010111; // lui s1,1357
		#50 op='b00110100001000010010010001101000; // ori s1,s1,2468
		#50 op='b00000000000000010000100000100100; // and s1,s0,s1
		#50 op='b00111100000000000001001000110100; // lui s0,1234
		#50 op='b00110100000000000101011001111000; // ori s0,s0,5678
		#50 op='b00111100001000010001001101010111; // lui s1,1357
		#50 op='b00110100001000010010010001101000; // ori s1,s1,2468
		#50 op='b00000000000000010000100000100101; // or s1,s0,s1
		#50 op='b00111100000000000001001000110100; // lui s0,1234
		#50 op='b00110100000000000101011001111000; // ori s0,s0,5678
		#50 op='b00111100001000010001001101010111; // lui s1,1357
		#50 op='b00110100001000010010010001101000; // ori s1,s1,2468
		#50 op='b00000000000000010000100000100111; // nor s1,s0,s1
		#50 op='b00111100000000000001001000110100; // lui s0,1234
		#50 op='b00110100000000000101011001111000; // ori s0,s0,5678
		#50 op='b00110000000000011111111111111111; // andi s1,s0,ffff
		#50 $finish;
	end
endmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -