⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 test_reg.v

📁 组成原理大作业--基于MIPS的运算器设计
💻 V
字号:
module test_reg;    reg regwrite,clock;    reg [4:0] read1,read2,writereg;      reg [31:0] writedata;    wire [31:0] data1,data2;    integer i;    registerfile u1(read1,read2,writereg,writedata,regwrite,data1,data2,clock);    always        begin #50 clock=~clock;end    initial       begin           $monitor("test of register",$time,,,           "regwrite=%b,writereg=%h,writedata=%h,read1=%h,readdata1=%h,read2=%h,readdata2=%h",regwrite,writereg,writedata,read1,data1,read2,data2);           clock=0;           for(i=0;i<32;i=i+1)              begin              #100 regwrite=1;writereg=i;writedata=i;              end           for(i=0;i<32;i=i+2)              begin              #100 regwrite=0;read1=i;read2=i+1;              end           #4800 $finish;       endendmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -