⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 tonetaba.vhd

📁 基于Altera的FPGA开发的音乐模拟信号播放
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
entity tonetaba is
port(index:in std_logic_vector(3 downto 0);
	tone:out std_logic_vector(10 downto 0));
end;
architecture one of tonetaba is
begin
	search:process(index)
	begin
	case index is
	when "0000"=>tone<="11111111111";
	when "0001"=>tone<="01100000101";
	when "0010"=>tone<="01110010000";
	when "0011"=>tone<="10000001100";
	when "0101"=>tone<="10010101101";
	when "0110"=>tone<="10100001010";
	when "0111"=>tone<="10101011100";
	when "1000"=>tone<="10110000010";
	when "1001"=>tone<="10111001000";
	when "1010"=>tone<="11000000110";
	when "1100"=>tone<="11001010110";
	when "1101"=>tone<="11010000100";
	when "1111"=>tone<="11011000000";
	when others=>null;
	end case;
	end process;
end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -