📄 gcd_tb.v
字号:
`timescale 1ns/100psmodule gcd_tb; reg START,RST,CLK; reg [31:0] OPA,OPB; wire DONE; wire [31:0] RESULT;GCD g1(START,RST,CLK,OPA,OPB,DONE,RESULT); initialCLK=0;always#10 CLK=~CLK;initial beginSTART=1;RST=1;OPA=32'b110001000101;OPB=32'b100000011000;#50 RST=0;#100 RST=1;#100 START=0;#20 START=1;#1000 START=0;#20 START=1;end endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -