⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 debounce.vhd

📁 这是一个键盘的消抖电路的代码 有兴趣的可以看看 我做了很长时间的
💻 VHD
字号:
-----消抖电路,和前面的是不一样的,但是觉得没有前面的好,大家自己看看了
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity debounce is
	port(   key_pressed:in std_logic;
		clk: in std_logic;
		scan_f : in std_logic;
		key_valid: out std_logic);
	end entity;
architecture behavior of debounce is
	begin
		process(clk,scan_f,key_pressed)
			variable dbnq: std_logic_vector(16 downto 0);
		begin
			if(key_pressed='1')then
				dbnq:="11111111111111111";
			elsif(clk'event and clk='1')then
				if(scan_f='1')then
					if(dbnq/=1)then
						dbnq:=dbnq-1;
					end if;
				end if;
			end if;
			  if(dbnq=2)then
				key_valid<='1';
			  else
				key_valid<='0';
			  end if;
			end process;
		   end architecture;
				

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -