⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 xx.vhd

📁 2FSK调制功能
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity xx is
   port(
  clk: in std_logic;
  din:in std_logic_vector(1 downto 0);
  qn:out std_logic_vector(9 downto 0));
 end xx;
architecture a of xx is
 begin
process(clk,din)
  begin
   if(clk'event and clk='1')then
      if(din="00")then
        if(qn="0000001111")then
           qn<= "0000010000";
        else
           qn<=qn+1;
        end if;
      elsif(din="01")then
       if(qn<="0011110000")then
          qn<="0011101111";
        else
           qn<=qn+1;
        end if;
     elsif(din="11")then
        if(qn<="0111110000")then
           qn<="0111101111";
        else
           qn<=qn+1;
        end if;
 
     elsif(din="10")then
       if(qn<="1111110000")then
          qn<="1111011111";
        else
           qn<=qn+1;
        end if;

        end if;
   end if;
end process;
end a;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -