📄 antivib.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity antivib is
port ( clk2 :in std_logic;
key :in std_logic;
keyout:out std_logic);
end;
architecture a of antivib is
signal r,s,qr,qs,d1,d2,q2,d3,d4,q4,cp:std_logic;
begin
process(clk2)
begin
if(clk2'event and clk2='1')then
d1<=key;
d2<=d1;
q2<=d2;
d3<=qr;
d4<=d3;
q4<=d4;
end if;
r<=(not d2) and (not q2);
s<= d2 and q2;
qr<= r nor qs;
qs<= s nor qr;
cp<= d4 and (not q4);
keyout<=cp;
end process;
end;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -