⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 alpher2.vhd

📁 近百个vhdl的器件编程,虽然个别较为简单,但都很实用,对于初学者会有很大帮助
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY alpher2 IS
	PORT(
		clk		: IN	STD_LOGIC;
		choice	: OUT	STD_LOGIC_VECTOR(7 downto 0);
		data	: OUT	STD_LOGIC_VECTOR(7 downto 0));
END alpher2;

ARCHITECTURE a OF alpher2 IS
	SIGNAL count : STD_LOGIC_VECTOR(3 downto 0);
	SIGNAL temp : STD_LOGIC_VECTOR(3 downto 0);

BEGIN
choice<="10000000";

clk1_label:
PROCESS (clk)
BEGIN
IF clk'event and clk='1' THEN
if count="1000" then count<="0000";
else    count<=count+1; end if;
END IF;
END PROCESS clk1_label;


WITH count select
	data  <= 	"11101110" WHEN "0000",
			   "00111110" WHEN "0001",
			   "10011100" WHEN "0010",
			   "01111010" WHEN "0011",
			   "10011110" WHEN "0100",
			   "10001110" WHEN "0101",
			   "01101110" WHEN "0110",
			   "11001110" WHEN "0111",
			   "00011100" WHEN OTHERS;

END a;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -