📄 romdctb.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
package ROMDC is
--declare a 171*21 ROM called ROM
constant ROM_WIDTH:integer:=21;
subtype ROM_WORD is std_logic_vector(ROM_WIDTH-1 downto 0);
subtype ROM_RENGE is integer range 0 to 191;
type ROM_TABLE is array(0 to 11) of ROM_WORD;
constant ROM:ROM_TABLE:=ROM_TABLE'(
("000100000000000000000"), -- DC0
("000110100000000000000"), -- DC1
("000110110000000000000"), -- DC2 ("000111000000000000000"), -- DC3 ("000111010000000000000"), -- DC4
("000111100000000000000"), --5/A DC5
("001001110000000000000"), --6/A DC6 ("001011111000000000000"), --7/A DC7 ("001101111100000000000"), --8/A DC8
("001111111110000000000"), --9/A DC9
("010001111111000000000"), --A/A DC10
("010011111111100000000")); --B/A DC11 end ROMDC;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -