⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 function.vhd

📁 用于视频运动图像编码的HUFFMAN编码
💻 VHD
字号:
---------      电子系                 学号:J02301                姓名:张宗旺----library ieee;	use ieee.std_logic_1164.all;	use ieee.std_logic_unsigned.all;	package MYFUNCTION is	function ADD(A,B:std_logic_vector;CI:std_logic) return std_logic_vector;	function SHIFT(A:std_logic_vector) return std_logic_vector;end package MYFUNCTION;package body  MYFUNCTION is	function ADD(A,B:std_logic_vector;CI:std_logic) return std_logic_vector	is		variable RESULT,AV,BV:std_logic_vector(A'length-1 downto 0);		variable CIV:std_logic;	begin		AV:=A;		BV:=B;		CIV:=CI;		RESULT:=AV+BV+CIV;		return RESULT;	end ADD;	function SHIFT(A:std_logic_vector) return std_logic_vector is		variable RESULT,AV:std_logic_vector(A'length-1 downto 0);	begin		AV:=A;		for INDEX in 0 to A'length-2 loop			RESULT(INDEX):=AV(INDEX+1);		end loop;		RESULT(A'length-1):='0';		return RESULT;	end SHIFT;end MYFUNCTION;																

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -