📄 decoder.vhd
字号:
--------- 电子系 学号:J02301 姓名:张宗旺----library ieee;use ieee.std_logic_1164.all;entity TWO_TO_4_DEC is port(I:in Std_logic_vector(1 downto 0); O:out Std_logic_vector(3 downto 0));end TWO_TO_4_DEC;architecture ALG of TWO_TO_4_DEC is begin DECODER: process(I) begin case I is when "00"=>O<="0001" ; when "01"=>O<="0010" ; when "10"=>O<="0100" ; when "11"=>O<="1000" ; when others =>NULL; end case; end process DECODER;end ALG;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -