⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 frqtest.vhd

📁 用于视频运动图像编码的HUFFMAN编码
💻 VHD
字号:
---------      电子系                 学号:J02301                姓名:张宗旺----library ieee;use ieee.std_logic_1164.all;entity FRQ_BENCH isend FRQ_BENCH;architecture BENCH of FRQ_BENCH is	component FRQ_DIV		port(	RST:		in std_logic;			CLK: 		in std_logic;			OUT_CLK: 	out std_logic	);	end component;	signal 	RST:std_logic:='0';	signal	OUT_CLK:std_logic;	signal 	CLK:std_logic:='1';begin 	--instantiat DUT component--		DUT1: entity work.FRQ_DIV(ALG) port map(RST,CLK,OUT_CLK); 		--signal generation--		RST<='0' after 10 ns,'1' after 100 ns;		CLK<=not(CLK) after 5 ns;	end BENCH;						

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -