⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 music_rom.vhd

📁 这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity music_rom is
port(clk:in std_logic;
  index:out std_logic_vector(3 downto 0));
end entity;
architecture bhv of music_rom is
subtype word is integer range 0 to 15;
type memory is array(0 to 523)of word;
signal rom: memory;
signal clk_cnt: integer range 0 to 333;
signal clk_4hz:std_logic;
signal adr:integer range 0 to 255;
begin

divide:process(clk)
begin
 if(clk'event and clk='1')then
  if clk_cnt=333 then clk_cnt<=0;clk_4hz<='1';
  else clk_cnt<=clk_cnt+1;clk_4hz<='0';
  end if;
 end if;
end process;

cnt:process(clk_4hz)
begin
  if adr=255 then adr<=0;
  elsif(clk_4hz'event and clk_4hz='1')then adr<=adr+1;
  end if;
end process;


index<= conv_std_logic_vector(rom(adr),4);--童话

rom(0)<=8;rom(1)<=11;rom(2)<=10;rom(3)<=11;
rom(4)<=11;rom(5)<=8;rom(6)<=8;rom(7)<=0;
rom(8)<=8;rom(9)<=11;rom(10)<=10;rom(11)<=11;
rom(12)<=11;rom(13)<=8;rom(14)<=8;rom(15)<=0;
rom(16)<=8;rom(17)<=11;rom(18)<=10;rom(19)<=11;
rom(20)<=11;rom(21)<=0;rom(22)<=11;rom(23)<=11;
rom(24)<=9;rom(25)<=9;rom(26)<=8;rom(27)<=8;
rom(28)<=8;rom(29)<=8;rom(30)<=8;rom(31)<=0;
rom(32)<=8;rom(33)<=11;rom(34)<=10;rom(35)<=11;
rom(36)<=11;rom(37)<=8;rom(38)<=8;rom(39)<=0;
rom(40)<=8;rom(41)<=13;rom(42)<=12;rom(43)<=12;
rom(44)<=11;rom(45)<=11;rom(46)<=11;rom(47)<=0;
rom(48)<=8;rom(49)<=11;rom(50)<=10;rom(51)<=11;
rom(52)<=8;rom(53)<=0;rom(54)<=8;rom(55)<=8;
rom(56)<=11;rom(57)<=15;rom(58)<=14;rom(59)<=14;
rom(60)<=9;rom(61)<=9;rom(62)<=11;rom(63)<=11;
rom(64)<=10;rom(65)<=10;rom(66)<=10;rom(67)<=0;
rom(68)<=10;rom(69)<=10;rom(70)<=3;rom(71)<=3;
rom(72)<=5;rom(73)<=5;rom(74)<=7;rom(75)<=9;
rom(76)<=8;rom(77)<=8;rom(78)<=8;rom(79)<=9;
rom(80)<=8;rom(81)<=7;rom(82)<=8;rom(83)<=0;
rom(84)<=8;rom(85)<=8;rom(86)<=8;rom(87)<=11;
rom(88)<=11;rom(89)<=0;rom(90)<=11;rom(91)<=12;
rom(92)<=11;rom(93)<=10;rom(94)<=9;rom(95)<=9;
rom(96)<=9;rom(97)<=9;rom(98)<=9;rom(99)<=0;
rom(100)<=9;rom(101)<=9;rom(102)<=11;rom(103)<=11;
rom(104)<=10;rom(105)<=0;rom(106)<=10;rom(107)<=0;
rom(108)<=10;rom(109)<=10;rom(110)<=14;rom(111)<=14;
rom(112)<=13;rom(113)<=14;rom(114)<=15;rom(115)<=15;
rom(116)<=15;rom(117)<=10;rom(118)<=9;rom(119)<=13;
rom(120)<=0;rom(121)<=13;rom(122)<=13;rom(123)<=12;
rom(124)<=12;rom(125)<=12;rom(126)<=12;rom(127)<=0;
rom(128)<=8;rom(129)<=12;rom(130)<=11;rom(131)<=10;
rom(132)<=0;rom(133)<=10;rom(134)<=11;rom(135)<=10;
rom(136)<=0;rom(137)<=10;rom(138)<=11;rom(139)<=10;
rom(140)<=11;rom(141)<=10;rom(142)<=9;rom(143)<=8;
rom(144)<=0;rom(145)<=8;rom(146)<=10;rom(147)<=12;
rom(148)<=13;rom(149)<=0;rom(150)<=13;rom(151)<=13;
rom(152)<=12;rom(153)<=9;rom(154)<=9;rom(155)<=11;
rom(156)<=10;rom(157)<=10;rom(158)<=10;rom(159)<=0;
rom(160)<=8;rom(161)<=10;rom(162)<=12;rom(163)<=13;
rom(164)<=0;rom(165)<=13;rom(166)<=13;rom(167)<=12;
rom(168)<=9;rom(169)<=9;rom(170)<=11;rom(171)<=10;
rom(172)<=11;rom(173)<=10;rom(174)<=9;rom(175)<=8;
rom(176)<=8;rom(177)<=9;rom(178)<=10;rom(179)<=6;
rom(180)<=6;rom(181)<=6;rom(182)<=7;rom(183)<=8;
rom(184)<=8;rom(185)<=8;rom(186)<=8;rom(187)<=8;
rom(188)<=8;rom(189)<=12;rom(190)<=11;rom(191)<=10;
rom(192)<=0;rom(193)<=10;rom(194)<=11;rom(195)<=10;
rom(196)<=0;rom(197)<=10;rom(198)<=11;rom(199)<=10;
rom(200)<=11;rom(201)<=10;rom(202)<=9;rom(203)<=8;
rom(204)<=0;rom(205)<=8;rom(206)<=10;rom(207)<=12;
rom(208)<=13;rom(209)<=0;rom(210)<=13;rom(211)<=13;
rom(212)<=12;rom(213)<=9;rom(214)<=9;rom(215)<=11;
rom(216)<=10;rom(217)<=10;rom(218)<=10;rom(219)<=0;
rom(220)<=8;rom(221)<=10;rom(222)<=12;rom(223)<=13;
rom(224)<=0;rom(225)<=13;rom(226)<=13;rom(227)<=12;
rom(228)<=9;rom(229)<=9;rom(230)<=11;rom(231)<=10;
rom(232)<=11;rom(233)<=10;rom(234)<=9;rom(235)<=8;
rom(236)<=8;rom(237)<=9;rom(238)<=10;rom(239)<=6;
rom(240)<=6;rom(241)<=6;rom(242)<=7;rom(243)<=8;
rom(244)<=8;rom(245)<=8;rom(246)<=8;rom(247)<=8;

end bhv;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -