⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 mediumtest.v

📁 A code writing by Verilog which can find medium value. With a C file to see the simulation results.
💻 V
字号:

//*******testbench*******//                                                                                  
module mediumtest;
reg	[7:0]	MEMA [81:0];  
reg	[7:0]	data1,data2,data3; //input
wire	[7:0]	mediumvalue;      //output
integer fp;        
reg [7:0]count;

//RGBtoY aa1(R,B,G,Y);
mediumvv mmtest(data1,data2,data3,mediumvalue);

initial 
begin	  
	  //fp=$fopen("baboon.dat");
	  fp=$fopen("mdeium_value.txt"); 
	  
	  $readmemh("INPUT_DATA_HEX.txt", MEMA); //?A.dat????load?MEMA?reg???
	  
        for (count = 7'd0; count <7'd81; count = count + 3)
         begin
           #2		data1 <= MEMA [count];//[co];             
	  	data2 <= MEMA [count+1];//[co];
	  	data3 <= MEMA [count+2];//[co];	  				
	   #2   $fdisplay(fp,mediumvalue[7:0]);  //???unsigned ????
         end
end
endmodule	

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -