⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 rom_tb.vhd

📁 我用VHDL写的正弦
💻 VHD
字号:

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;

USE ieee.std_logic_unsigned.ALL;
ENTITY rom_tb IS
END rom_tb;
ARCHITECTURE a OF rom_tb IS
component rom
port (
	  clk : in std_logic;
	 DOUT :	out std_logic_vector(7 downto 0)
		
);
end component;
signal clk : std_logic:='0';
signal dout  : std_logic_vector(7 downto 0) :="00000000";


begin
uut: rom port map
(
 clk=>clk,
dout=>dout

);
clk <= not clk after 10ns;

END a;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -