📄 _info
字号:
m25513cModel TechnologydE:\FEC_DECODER\modelsimva_graycounterIg8=V;_X^e<IWYJGLeP]N90VMk:N^O83OA1@aDzHMfGYh3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 32344OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valt3pramIPVRJhB:T7A7IjJdSXHZ4f0VUKKgN]:SQmIASC9>5c=DV2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 27873OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valt_exc_dpramII<NU0WM2HTakGDao7XQ]>0V0`G:Wek04No=4FXzc6iCh1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 32422OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valt_exc_upcoreIN;V<E29?EL`LJ^CaL`Cg53VBFAV[S;KSbCPWUM_?Z0KP0dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 32724OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtaccumulateIYgnL=j:aPN2?ZP`9[ZkN72V9Ul5<MUi1M<Q[bF:E9QnI3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 9697OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtcamII8a]^`bVJ1^`ND9EZbfA13VIEb61C9T]FlHZKRaP>7]11dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 23530OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtcdr_rxIhQaF1oQSOf=k4>P08dJMO0V3NU3ELG6;e__=cF_AKHeX1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 18508OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtcdr_txIClBhjBGI8bYBZYK`ggQ5C2VFo`AZ3DTce;A6QJ]`Chzn2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 19130OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtclklockIho_0KSZfn::;_LSm^c`=D3V;IPe`k:JLNNXn5in4T7Ma2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 15823OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtddio_bidirIJ3@0gR;loUWWn_k726O`k0VQ9k0LIQ^=_z5W]oR4;_<@1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 17170OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtddio_inIG`Yn@Ai[71=Ci=z:cl_?F1VD?L8OID;fbGoFhBBkZ_0V2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 16770OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtddio_outIg]HJNW^e:X<h`]hJ80`RB0VZFMk^kPnD;kID@K<5JR7W1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 16953OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtdpramIl:k6Ao93Z2Gnkc[LTa>513VojO@WMUVc]]mlVT3;QG_Y0dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 26120OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vALTERA_DEVICE_FAMILIESI5T0MXIGLb>^<LEA94OfV<1VQ9;YfM0O8T<kA:7iO^6?T3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 433OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000n@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@svALTERA_MF_MEMORY_INITIALIZATIONI@K:CkHF?E[W`89Ie_KBM^0VdGgz5CJfH?XAb0VYP<f=T2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 64OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000n@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@nvaltfp_multIf3HgAQ0OMBZo;l09jgNU_0V:jm5Ubj8A3cFgJZb43na>1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 14960OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtlvds_rxIR<L0hjQ8n^FVF4_8M_FMS0VJ2ee9^gZ2UBQ@@1NDCOj73dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 19678OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtlvds_txI^hYZNPKdQnKLH7fi]0QNO3VPz`=EV2zP=cVKf`Dd6QFd0dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 21961OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtmult_accumI_7zW7Wo;iC>G_Qn6C_KaL3VIQoQ=S9Fc0RNO;6hP_DVY3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 9937OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtmult_addIJ_H`RXn:MSh3`baK5=Y<B3Vl`KkCaFOMXZf<>nnk]1jc3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 11905OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtpllIL]6OPIg;?ELJ@^ef8527:1V=d0a];[6nglAXVoao6>nL1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 8631OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtqpramI?AUMS0l[cGn3^X5fQ0TB60Vn2X^JLc_lzd9<nK?>RSl01dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 28576OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtshift_tapsIHJXzjD6HK^]P=zVPRVENj2V5;Gz9?MS>FPGR4`elbXQ52dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 32230OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtsqrtI?zP=5@gi51SD?4f?HQOSg2VBV3>bZnYUS9WHCPheVOgW2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 15622OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtsquareI`eN2LFIfhA?mENGNVa:9Z0VK>H>CEM`1m?hIL45_5`I10dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 34353OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtstratixii_octIneDZ^M6;HUEfT?8OLQ9Cd3VWIn93g<RZ0R]?1IVY:2R]0dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 34523OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000valtsyncramIf9Wok@AjFGX3eWY6BEEf70V`Fj?Gm0BM^igM1IbQl6zn3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 26457OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000varm_m_cntrI54PIZ`nMD2J:Lj;T^;F<m1VnZ7bTfk5X@6QmGaNoIOHO1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 4899OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000varm_n_cntrIg_VX`CYC^HE7><VUS9;:k3V@_zlT2DBKV43W5lIQ08O:0dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 4977OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000varm_scale_cntrIk9NNj;j9b4e1Y04?`JzY=2VXREJKCNbUEi[38_3KRk5Y1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 5048OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vdcfifoI;<HfOoZNK<>]V<?J_1MhW1V5TH_L?i:RSD8GL@DFOBLc3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 32009OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vdcfifo_asyncIEeWDP<5ZRMVgT[dH^D3bz0V^DY3YJTnMU3=^_gac;AI=1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 30860OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vdcfifo_dffpipeI@[KiM2Ae@Q<51zWSY<1=^1V5oD^gL3I[RhLlE`]D9m4^2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 30634OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vdcfifo_fefifoI[?ekE779bi4>:LnkM:lgk3V`z7m6ThEgzU5BllSDblSG3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 30717OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vdcfifo_low_latencyI:@MH;2B;WeL@U2S`BFCa91V0dB3b6QVjAK`62W71PIRF2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 31689OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vdcfifo_syncICLZF96UlmWcCmj6me6;A>2V[dVnCn7`FK;`DOzPIgIl01dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 31364OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vdffpI96A4GjiF_[jn?Q5UaVf4a3VXmBPS_`4`eL@]B5:5aCEQ3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 847OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vflexible_lvds_rxIzma4FTohkaSeI?;gb6@bQ2VRnRL7Ac>9Rn_PCh<6n>4@1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 21737OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vflexible_lvds_txITDFam[_HgJ]8^<nLe_TXf1VP3jgGhHBIB6Ab6@a3QM=f3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 23321OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vhssi_fifoIkV8^HPl9=>Q4=K]B0l<c62VKoi46Beo<iNXni^[a=Nl>1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 17943OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vhssi_pllI2mB?38_[n;<dCiSFPH:YG0VHn2idKnMV[WIMbT1SSEn42dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 17302OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vhssi_rxInoX3^CGbO<S`@YU[f[@161V<@<bkeHZeT^WBbP9c>;hH2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 18176OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vhssi_txIhS:CRJe54dChIZoS0@?1@0V06h>hE6P?n6HMVij3Dec52dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 18380OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vlcellI@_E<V632U]J;]I@6]IAY32VJ?L2VaGMcgJV7T`@^5DkR1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 37OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vMF_pll_regI??mh=JJfog[SfI03D<ZT30VNCKUEDQ^OBUgncngA<V;H2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 1171OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000n@m@f_pll_regvMF_ram7x20_synI4=TY9ELH1VEnnPH1eh[_g3VZ[HJ1VI9PREnL2NnHz4ST0dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 17798OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000n@m@f_ram7x20_synvMF_stratix_pllIPb;V1HP7T@Z`17_:<W]jf0V6zznC^K?OeH]HR3G^GK2H3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 1230OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000n@m@f_stratix_pllvMF_stratixii_pllIic??3L@<Sd;07cGQo=6LH2VC_1i?22kMdUW@?]TKWnH@2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 5171OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000n@m@f_stratixii_pllvparallel_addIBJO0b?4G0lMHdJJYH:hE03V6DXd<J7<?`IL4[cfG?e8L3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 29719OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vscfifoI2DY_j`h0aZVF[0<j`2_kJ3VfTPPJP4B>B>SFiWJU6HFB3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 29886OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vsld_signaltapIAgRE=CGY@JcZbTlJSV2La2VkSfhMee[>X8Ph@2gYX?lh3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 34446OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstratix_lvds_rxIG_Cm<FV<FeiGkz7PbG8E41VfP2o;eO=6gjB:1z@0B[H<3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 20858OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstratix_tx_outclkI4fW>YEI0bnohVOiG:9h^P2V<N?m7`II2h8OnjVGb^Vk71dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 23115OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstratixgx_dpa_lvds_rxIf6TDTTMA3Y1K8_cbd77IW0Vk0jgEIPAn?]Ad=47]fjUh2dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 20966OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstratixii_lvds_rxI=bn^F2_BEJDkK=Db=A=c^3V5mB[;i^B;V:C;nNANAKe_3dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 21363OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstratixii_tx_outclkIBV8X>B][[CS4eBaFAekF<2V_d5@AoUPhlkIJ9U3`V9g>0dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 23221OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstx_m_cntrI5XR36dezQGdXfY9SHgLVc1V:[2:D[_10ghn[bgc=CD302dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 876OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstx_n_cntrINo4E<<^?kdjVSTGzTlnBM3V4CiQB5Uz?;=OULO:MD>Eo1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 951OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000vstx_scale_cntrIWRcd=P9[Oa^_8Y@4WCfEe2VG8KIUm2mDHoX1DjR8TDdJ1dE:\FEC_DECODER_new\modelsimw1130309418FE:/FEC_DECODER_new/src_all/lib/altera_mf.vL0 1036OE;L;6.0c;29r131o-work altera_mftGenerateLoopIterationMax 100000
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -