⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 5050pwm.vho

📁 FPGA 实现基于ISA接口的3路编码器计数
💻 VHO
📖 第 1 页 / 共 5 页
字号:
-- Copyright (C) 1991-2006 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions 
-- and other software and tools, and its AMPP partner logic 
-- functions, and any output files any of the foregoing 
-- (including device programming or simulation files), and any 
-- associated documentation or information are expressly subject 
-- to the terms and conditions of the Altera Program License 
-- Subscription Agreement, Altera MegaCore Function License 
-- Agreement, or other applicable license agreement, including, 
-- without limitation, that your use is for the sole purpose of 
-- programming logic devices manufactured by Altera and sold by 
-- Altera or its authorized distributors.  Please refer to the 
-- applicable agreement for further details.

-- VENDOR "Altera"
-- PROGRAM "Quartus II"
-- VERSION "Version 6.0 Build 178 04/27/2006 SJ Full Version"

-- DATE "07/07/2008 15:30:25"

-- 
-- Device: Altera EP1C6Q240C8 Package PQFP240
-- 

-- 
-- This VHDL file should be used for Active-HDL (VHDL) only
-- 

LIBRARY IEEE, cyclone;
USE IEEE.std_logic_1164.all;
USE cyclone.cyclone_components.all;

ENTITY 	\5050PWM\ IS
    PORT (
	PWMout : OUT std_logic;
	WR : IN std_logic;
	addr : IN std_logic_vector(9 DOWNTO 0);
	clock : IN std_logic;
	D : INOUT std_logic_vector(7 DOWNTO 0);
	PHA0 : IN std_logic;
	PHB0 : IN std_logic;
	PHA1 : IN std_logic;
	PHB1 : IN std_logic;
	PHA2 : IN std_logic;
	PHB2 : IN std_logic;
	RD : IN std_logic;
	EN : OUT std_logic;
	addrSet : IN std_logic_vector(5 DOWNTO 0);
	AEN : IN std_logic;
	PWMen : OUT std_logic;
	LED2en : OUT std_logic;
	PWMout1 : OUT std_logic;
	PWMout0 : OUT std_logic
	);
END \5050PWM\;

ARCHITECTURE structure OF \5050PWM\ IS
SIGNAL gnd : std_logic := '0';
SIGNAL vcc : std_logic := '1';
SIGNAL devoe : std_logic := '0';
SIGNAL devclrn : std_logic := '1';
SIGNAL devpor : std_logic := '1';
SIGNAL ww_devoe : std_logic;
SIGNAL ww_devclrn : std_logic;
SIGNAL ww_devpor : std_logic;
SIGNAL ww_PWMout : std_logic;
SIGNAL ww_WR : std_logic;
SIGNAL ww_addr : std_logic_vector(9 DOWNTO 0);
SIGNAL ww_clock : std_logic;
SIGNAL ww_PHA0 : std_logic;
SIGNAL ww_PHB0 : std_logic;
SIGNAL ww_PHA1 : std_logic;
SIGNAL ww_PHB1 : std_logic;
SIGNAL ww_PHA2 : std_logic;
SIGNAL ww_PHB2 : std_logic;
SIGNAL ww_RD : std_logic;
SIGNAL ww_EN : std_logic;
SIGNAL ww_addrSet : std_logic_vector(5 DOWNTO 0);
SIGNAL ww_AEN : std_logic;
SIGNAL ww_PWMen : std_logic;
SIGNAL ww_LED2en : std_logic;
SIGNAL ww_PWMout1 : std_logic;
SIGNAL ww_PWMout0 : std_logic;
SIGNAL \inst2|altpll_component|pll_INCLK_bus\ : std_logic_vector(1 DOWNTO 0);
SIGNAL \inst2|altpll_component|pll_CLK_bus\ : std_logic_vector(5 DOWNTO 0);
SIGNAL \inst2|altpll_component|pll~CLK1\ : std_logic;
SIGNAL \inst2|altpll_component|pll~CLK2\ : std_logic;
SIGNAL \inst2|altpll_component|pll~CLK3\ : std_logic;
SIGNAL \inst2|altpll_component|pll~CLK4\ : std_logic;
SIGNAL \inst2|altpll_component|pll~CLK5\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~168\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~168\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~168\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~173\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~173\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~173\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~178\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~178\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~178\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~183\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~183\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~183\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~188\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~188\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~188\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~193\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~193\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~193\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~198\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~198\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~198\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~203\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~203\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~203\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~208\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~208\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~208\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~213\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~213\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~213\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~218\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~218\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~218\ : std_logic;
SIGNAL \clock~combout\ : std_logic;
SIGNAL \inst2|altpll_component|_clk0\ : std_logic;
SIGNAL \inst1|58~148\ : std_logic;
SIGNAL \inst|inst7|10|3\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella0~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella1~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella1~COUTCOUT1_1\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella2~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella2~COUTCOUT1_1\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella3~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella3~COUTCOUT1_1\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella4~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella4~COUTCOUT1_1\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella5~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella6~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella6~COUTCOUT1_1\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella7~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella7~COUTCOUT1_1\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella8~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella8~COUTCOUT1_1\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella9~COUT\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella9~COUTCOUT1_1\ : std_logic;
SIGNAL \inst1|58~147\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|aeb_int~75\ : std_logic;
SIGNAL \inst|inst11|lpm_counter_component|auto_generated|counter_cella10~COUT\ : std_logic;
SIGNAL \inst|inst7|15|3\ : std_logic;
SIGNAL \inst|inst6|19|3\ : std_logic;
SIGNAL \inst|inst6|2|3\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~220\ : std_logic;
SIGNAL \inst|inst6|18|3\ : std_logic;
SIGNAL \inst|inst6|15|3\ : std_logic;
SIGNAL \inst|inst6|10|3\ : std_logic;
SIGNAL \inst|inst6|3|3\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~215\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~215COUT1_224\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~210\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~210COUT1_225\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~205\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~205COUT1_226\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~200\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~200COUT1_227\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~195\ : std_logic;
SIGNAL \inst|inst7|3|3\ : std_logic;
SIGNAL \inst|inst7|2|3\ : std_logic;
SIGNAL \inst|inst6|25|3\ : std_logic;
SIGNAL \inst|inst6|24|3\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~190\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~190COUT1_228\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~185\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~185COUT1_229\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~180\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~180COUT1_230\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~175\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~175COUT1_231\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~170\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|op_1~163\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|aeb_int~76\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|aeb_int~70\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|aeb_int~71\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|aeb_int~73\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|aeb_int~72\ : std_logic;
SIGNAL \inst|inst18|lpm_compare_component|auto_generated|aeb_int~74\ : std_logic;
SIGNAL \inst6|48~42\ : std_logic;
SIGNAL \inst6|48~43\ : std_logic;
SIGNAL \AEN~combout\ : std_logic;
SIGNAL \inst6|48~41\ : std_logic;
SIGNAL \inst6|48~44\ : std_logic;
SIGNAL \WR~combout\ : std_logic;
SIGNAL \inst1|58~146\ : std_logic;
SIGNAL \inst4|32\ : std_logic;
SIGNAL \inst1|58~150\ : std_logic;
SIGNAL \inst7|inst7|10|3\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella0~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella1~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella1~COUTCOUT1_1\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella2~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella2~COUTCOUT1_1\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella3~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella3~COUTCOUT1_1\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella4~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella4~COUTCOUT1_1\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella5~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella6~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella6~COUTCOUT1_1\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella7~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella7~COUTCOUT1_1\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella8~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella8~COUTCOUT1_1\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella9~COUT\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella9~COUTCOUT1_1\ : std_logic;
SIGNAL \inst1|58~149\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|aeb_int~75\ : std_logic;
SIGNAL \inst7|inst6|10|3\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|aeb_int~76\ : std_logic;
SIGNAL \inst7|inst7|15|3\ : std_logic;
SIGNAL \inst7|inst11|lpm_counter_component|auto_generated|counter_cella10~COUT\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|aeb_int~70\ : std_logic;
SIGNAL \inst7|inst6|3|3\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|aeb_int~72\ : std_logic;
SIGNAL \inst7|inst6|19|3\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|aeb_int~71\ : std_logic;
SIGNAL \inst7|inst6|18|3\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|aeb_int~73\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|aeb_int~74\ : std_logic;
SIGNAL \inst7|inst6|2|3\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~220\ : std_logic;
SIGNAL \inst7|inst6|15|3\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~215\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~215COUT1_224\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~210\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~210COUT1_225\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~205\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~205COUT1_226\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~200\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~200COUT1_227\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~195\ : std_logic;
SIGNAL \inst7|inst7|3|3\ : std_logic;
SIGNAL \inst7|inst7|2|3\ : std_logic;
SIGNAL \inst7|inst6|25|3\ : std_logic;
SIGNAL \inst7|inst6|24|3\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~190\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~190COUT1_228\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~185\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~185COUT1_229\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~180\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~180COUT1_230\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~175\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~175COUT1_231\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~170\ : std_logic;
SIGNAL \inst7|inst18|lpm_compare_component|auto_generated|op_1~163\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella0~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella1~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella1~COUTCOUT1_1\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella2~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella2~COUTCOUT1_1\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella3~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella3~COUTCOUT1_1\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella4~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella4~COUTCOUT1_1\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella5~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella6~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella6~COUTCOUT1_1\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella7~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella7~COUTCOUT1_1\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella8~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella8~COUTCOUT1_1\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella9~COUT\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella9~COUTCOUT1_1\ : std_logic;
SIGNAL \inst1|58~152\ : std_logic;
SIGNAL \inst8|inst7|10|3\ : std_logic;
SIGNAL \inst1|58~151\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|aeb_int~75\ : std_logic;
SIGNAL \inst8|inst6|10|3\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|aeb_int~76\ : std_logic;
SIGNAL \inst8|inst6|19|3\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|aeb_int~71\ : std_logic;
SIGNAL \inst8|inst7|15|3\ : std_logic;
SIGNAL \inst8|inst11|lpm_counter_component|auto_generated|counter_cella10~COUT\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|aeb_int~70\ : std_logic;
SIGNAL \inst8|inst6|18|3\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|aeb_int~73\ : std_logic;
SIGNAL \inst8|inst6|3|3\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|aeb_int~72\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|aeb_int~74\ : std_logic;
SIGNAL \inst8|inst6|2|3\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~220\ : std_logic;
SIGNAL \inst8|inst6|15|3\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~215\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~215COUT1_224\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~210\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~210COUT1_225\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~205\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~205COUT1_226\ : std_logic;
SIGNAL \inst8|inst18|lpm_compare_component|auto_generated|op_1~200\ : std_logic;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -