📄 ddr2_cpu.vhd
字号:
--cpu_0_jtag_debug_module_waits_for_read in a cycle, which is an e_mux
cpu_0_jtag_debug_module_waits_for_read <= cpu_0_jtag_debug_module_in_a_read_cycle AND cpu_0_jtag_debug_module_begins_xfer;
--cpu_0_jtag_debug_module_in_a_read_cycle assignment, which is an e_assign
cpu_0_jtag_debug_module_in_a_read_cycle <= ((internal_cpu_0_data_master_granted_cpu_0_jtag_debug_module AND cpu_0_data_master_read)) OR ((internal_cpu_0_instruction_master_granted_cpu_0_jtag_debug_module AND cpu_0_instruction_master_read));
--in_a_read_cycle assignment, which is an e_mux
in_a_read_cycle <= cpu_0_jtag_debug_module_in_a_read_cycle;
--cpu_0_jtag_debug_module_waits_for_write in a cycle, which is an e_mux
cpu_0_jtag_debug_module_waits_for_write <= cpu_0_jtag_debug_module_in_a_write_cycle AND cpu_0_jtag_debug_module_begins_xfer;
--cpu_0_jtag_debug_module_in_a_write_cycle assignment, which is an e_assign
cpu_0_jtag_debug_module_in_a_write_cycle <= internal_cpu_0_data_master_granted_cpu_0_jtag_debug_module AND cpu_0_data_master_write;
--in_a_write_cycle assignment, which is an e_mux
in_a_write_cycle <= cpu_0_jtag_debug_module_in_a_write_cycle;
wait_for_cpu_0_jtag_debug_module_counter <= std_logic'('0');
--cpu_0_jtag_debug_module_byteenable byte enable port mux, which is an e_mux
cpu_0_jtag_debug_module_byteenable <= A_EXT (A_WE_StdLogicVector((std_logic'((internal_cpu_0_data_master_granted_cpu_0_jtag_debug_module)) = '1'), (std_logic_vector'("0000000000000000000000000000") & (cpu_0_data_master_byteenable)), -SIGNED(std_logic_vector'("00000000000000000000000000000001"))), 4);
--vhdl renameroo for output signals
cpu_0_data_master_granted_cpu_0_jtag_debug_module <= internal_cpu_0_data_master_granted_cpu_0_jtag_debug_module;
--vhdl renameroo for output signals
cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module <= internal_cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module;
--vhdl renameroo for output signals
cpu_0_data_master_requests_cpu_0_jtag_debug_module <= internal_cpu_0_data_master_requests_cpu_0_jtag_debug_module;
--vhdl renameroo for output signals
cpu_0_instruction_master_granted_cpu_0_jtag_debug_module <= internal_cpu_0_instruction_master_granted_cpu_0_jtag_debug_module;
--vhdl renameroo for output signals
cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module <= internal_cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module;
--vhdl renameroo for output signals
cpu_0_instruction_master_requests_cpu_0_jtag_debug_module <= internal_cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
--vhdl renameroo for output signals
cpu_0_jtag_debug_module_reset_n <= internal_cpu_0_jtag_debug_module_reset_n;
--synthesis translate_off
--grant signals are active simultaneously, which is an e_process
process (clk)
VARIABLE write_line : line;
begin
if clk'event and clk = '1' then
if (std_logic_vector'("000000000000000000000000000000") & (((std_logic_vector'("0") & (A_TOSTDLOGICVECTOR(internal_cpu_0_data_master_granted_cpu_0_jtag_debug_module))) + (std_logic_vector'("0") & (A_TOSTDLOGICVECTOR(internal_cpu_0_instruction_master_granted_cpu_0_jtag_debug_module))))))>std_logic_vector'("00000000000000000000000000000001") then
write(write_line, now);
write(write_line, string'(": "));
write(write_line, string'("> 1 of grant signals are active simultaneously"));
write(output, write_line.all);
deallocate (write_line);
assert false report "VHDL STOP" severity failure;
end if;
end if;
end process;
--saved_grant signals are active simultaneously, which is an e_process
process (clk)
VARIABLE write_line1 : line;
begin
if clk'event and clk = '1' then
if (std_logic_vector'("000000000000000000000000000000") & (((std_logic_vector'("0") & (A_TOSTDLOGICVECTOR(cpu_0_data_master_saved_grant_cpu_0_jtag_debug_module))) + (std_logic_vector'("0") & (A_TOSTDLOGICVECTOR(cpu_0_instruction_master_saved_grant_cpu_0_jtag_debug_module))))))>std_logic_vector'("00000000000000000000000000000001") then
write(write_line1, now);
write(write_line1, string'(": "));
write(write_line1, string'("> 1 of saved_grant signals are active simultaneously"));
write(output, write_line1.all);
deallocate (write_line1);
assert false report "VHDL STOP" severity failure;
end if;
end if;
end process;
--synthesis translate_on
end europa;
-- turn off superfluous VHDL processor warnings
-- altera message_level Level1
-- altera message_off 10034 10035 10036 10037 10230 10240 10030
library altera;
use altera.altera_europa_support_lib.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity cpu_0_data_master_arbitrator is
port (
-- inputs:
signal clk : IN STD_LOGIC;
signal cpu_0_data_master_address : IN STD_LOGIC_VECTOR (17 DOWNTO 0);
signal cpu_0_data_master_debugaccess : IN STD_LOGIC;
signal cpu_0_data_master_granted_cpu_0_jtag_debug_module : IN STD_LOGIC;
signal cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave : IN STD_LOGIC;
signal cpu_0_data_master_granted_onchip_memory_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_granted_test_start_s1 : IN STD_LOGIC;
signal cpu_0_data_master_granted_test_status_s1 : IN STD_LOGIC;
signal cpu_0_data_master_granted_timer_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_granted_timer_1_s1 : IN STD_LOGIC;
signal cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module : IN STD_LOGIC;
signal cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave : IN STD_LOGIC;
signal cpu_0_data_master_qualified_request_onchip_memory_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_qualified_request_test_start_s1 : IN STD_LOGIC;
signal cpu_0_data_master_qualified_request_test_status_s1 : IN STD_LOGIC;
signal cpu_0_data_master_qualified_request_timer_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_qualified_request_timer_1_s1 : IN STD_LOGIC;
signal cpu_0_data_master_read : IN STD_LOGIC;
signal cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module : IN STD_LOGIC;
signal cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave : IN STD_LOGIC;
signal cpu_0_data_master_read_data_valid_onchip_memory_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_read_data_valid_test_start_s1 : IN STD_LOGIC;
signal cpu_0_data_master_read_data_valid_test_status_s1 : IN STD_LOGIC;
signal cpu_0_data_master_read_data_valid_timer_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_read_data_valid_timer_1_s1 : IN STD_LOGIC;
signal cpu_0_data_master_requests_cpu_0_jtag_debug_module : IN STD_LOGIC;
signal cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave : IN STD_LOGIC;
signal cpu_0_data_master_requests_onchip_memory_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_requests_test_start_s1 : IN STD_LOGIC;
signal cpu_0_data_master_requests_test_status_s1 : IN STD_LOGIC;
signal cpu_0_data_master_requests_timer_0_s1 : IN STD_LOGIC;
signal cpu_0_data_master_requests_timer_1_s1 : IN STD_LOGIC;
signal cpu_0_data_master_write : IN STD_LOGIC;
signal cpu_0_jtag_debug_module_readdata_from_sa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
signal d1_cpu_0_jtag_debug_module_end_xfer : IN STD_LOGIC;
signal d1_jtag_uart_0_avalon_jtag_slave_end_xfer : IN STD_LOGIC;
signal d1_onchip_memory_0_s1_end_xfer : IN STD_LOGIC;
signal d1_test_start_s1_end_xfer : IN STD_LOGIC;
signal d1_test_status_s1_end_xfer : IN STD_LOGIC;
signal d1_timer_0_s1_end_xfer : IN STD_LOGIC;
signal d1_timer_1_s1_end_xfer : IN STD_LOGIC;
signal jtag_uart_0_avalon_jtag_slave_irq_from_sa : IN STD_LOGIC;
signal jtag_uart_0_avalon_jtag_slave_readdata_from_sa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
signal jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa : IN STD_LOGIC;
signal onchip_memory_0_s1_readdata_from_sa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
signal registered_cpu_0_data_master_read_data_valid_onchip_memory_0_s1 : IN STD_LOGIC;
signal reset_n : IN STD_LOGIC;
signal test_status_s1_readdata_from_sa : IN STD_LOGIC_VECTOR (2 DOWNTO 0);
signal timer_0_s1_irq_from_sa : IN STD_LOGIC;
signal timer_0_s1_readdata_from_sa : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
signal timer_1_s1_irq_from_sa : IN STD_LOGIC;
signal timer_1_s1_readdata_from_sa : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
-- outputs:
signal cpu_0_data_master_address_to_slave : OUT STD_LOGIC_VECTOR (17 DOWNTO 0);
signal cpu_0_data_master_irq : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
signal cpu_0_data_master_readdata : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
signal cpu_0_data_master_waitrequest : OUT STD_LOGIC
);
attribute auto_dissolve : boolean;
attribute auto_dissolve of cpu_0_data_master_arbitrator : entity is FALSE;
end entity cpu_0_data_master_arbitrator;
architecture europa of cpu_0_data_master_arbitrator is
signal cpu_0_data_master_run : STD_LOGIC;
signal internal_cpu_0_data_master_address_to_slave : STD_LOGIC_VECTOR (17 DOWNTO 0);
signal internal_cpu_0_data_master_waitrequest : STD_LOGIC;
signal p1_registered_cpu_0_data_master_readdata : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal r_0 : STD_LOGIC;
signal r_1 : STD_LOGIC;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -