📄 four.vhd
字号:
------------------------------------------------------------------------------------ Company: -- Engineer: -- -- Create Date: 15:54:29 05/04/2008 -- Design Name: -- Module Name: four - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created-- Additional Comments: ------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity four isPort( clk : in std_logic; reset : in std_logic; DELAY : in std_logic; CPU_CLK : inout std_logic; clk_out : out std_logic);end four;architecture Behavioral of four issignal temp_clk : std_logic;beginclk_out <= temp_clk;process (clk, reset, DELAY) begin if reset = '0' then temp_clk <= '0'; CPU_CLK <= '0'; elsif falling_edge(clk) then temp_clk <= not temp_clk; if DELAY = '0' then CPU_CLK <= CPU_CLK; else CPU_CLK <= temp_clk; end if; end if;end process;end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -