📄 conv7seg.vhd
字号:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity CONV7SEG is
port( I_DATA1 : in std_logic_vector(15 downto 0);
I_DATA2 : in std_logic_vector(15 downto 0);
O_7SEGDATA1 : out std_logic_vector( 7 downto 0);
O_7SEGDATA2 : out std_logic_vector( 7 downto 0);
O_7SEGDATA3 : out std_logic_vector( 7 downto 0);
O_7SEGDATA4 : out std_logic_vector( 7 downto 0);
O_7SEGDATA5 : out std_logic_vector( 7 downto 0);
O_7SEGDATA6 : out std_logic_vector( 7 downto 0);
O_7SEGDATA7 : out std_logic_vector( 7 downto 0);
O_7SEGDATA8 : out std_logic_vector( 7 downto 0)
);
end CONV7SEG;
architecture RTL of CONV7SEG is
begin
process(I_DATA1(15 downto 12))
begin
case I_DATA1(15 downto 12) is
when "0000" => O_7SEGDATA1 <= "11111100";
when "0001" => O_7SEGDATA1 <= "01100000";
when "0010" => O_7SEGDATA1 <= "11011010";
when "0011" => O_7SEGDATA1 <= "11110010";
when "0100" => O_7SEGDATA1 <= "01100110";
when "0101" => O_7SEGDATA1 <= "10110110";
when "0110" => O_7SEGDATA1 <= "10111110";
when "0111" => O_7SEGDATA1 <= "11100000";
when "1000" => O_7SEGDATA1 <= "11111110";
when "1001" => O_7SEGDATA1 <= "11110110";
when "1010" => O_7SEGDATA1 <= "11101110";
when "1011" => O_7SEGDATA1 <= "00111110";
when "1100" => O_7SEGDATA1 <= "00011010";
when "1101" => O_7SEGDATA1 <= "01111010";
when "1110" => O_7SEGDATA1 <= "10011110";
when "1111" => O_7SEGDATA1 <= "10001110";
when others => O_7SEGDATA1 <= "11111111";
end case;
end process;
process(I_DATA1(11 downto 8))
begin
case I_DATA1(11 downto 8) is
when "0000" => O_7SEGDATA2 <= "11111100";
when "0001" => O_7SEGDATA2 <= "01100000";
when "0010" => O_7SEGDATA2 <= "11011010";
when "0011" => O_7SEGDATA2 <= "11110010";
when "0100" => O_7SEGDATA2 <= "01100110";
when "0101" => O_7SEGDATA2 <= "10110110";
when "0110" => O_7SEGDATA2 <= "10111110";
when "0111" => O_7SEGDATA2 <= "11100000";
when "1000" => O_7SEGDATA2 <= "11111110";
when "1001" => O_7SEGDATA2 <= "11110110";
when "1010" => O_7SEGDATA2 <= "11101110";
when "1011" => O_7SEGDATA2 <= "00111110";
when "1100" => O_7SEGDATA2 <= "00011010";
when "1101" => O_7SEGDATA2 <= "01111010";
when "1110" => O_7SEGDATA2 <= "10011110";
when "1111" => O_7SEGDATA2 <= "10001110";
when others => O_7SEGDATA2 <= "11111111";
end case;
end process;
process(I_DATA1( 7 downto 4))
begin
case I_DATA1( 7 downto 4) is
when "0000" => O_7SEGDATA3 <= "11111100";
when "0001" => O_7SEGDATA3 <= "01100000";
when "0010" => O_7SEGDATA3 <= "11011010";
when "0011" => O_7SEGDATA3 <= "11110010";
when "0100" => O_7SEGDATA3 <= "01100110";
when "0101" => O_7SEGDATA3 <= "10110110";
when "0110" => O_7SEGDATA3 <= "10111110";
when "0111" => O_7SEGDATA3 <= "11100000";
when "1000" => O_7SEGDATA3 <= "11111110";
when "1001" => O_7SEGDATA3 <= "11110110";
when "1010" => O_7SEGDATA3 <= "11101110";
when "1011" => O_7SEGDATA3 <= "00111110";
when "1100" => O_7SEGDATA3 <= "00011010";
when "1101" => O_7SEGDATA3 <= "01111010";
when "1110" => O_7SEGDATA3 <= "10011110";
when "1111" => O_7SEGDATA3 <= "10001110";
when others => O_7SEGDATA3 <= "11111111";
end case;
end process;
process(I_DATA1( 3 downto 0))
begin
case I_DATA1( 3 downto 0) is
when "0000" => O_7SEGDATA4 <= "11111100";
when "0001" => O_7SEGDATA4 <= "01100000";
when "0010" => O_7SEGDATA4 <= "11011010";
when "0011" => O_7SEGDATA4 <= "11110010";
when "0100" => O_7SEGDATA4 <= "01100110";
when "0101" => O_7SEGDATA4 <= "10110110";
when "0110" => O_7SEGDATA4 <= "10111110";
when "0111" => O_7SEGDATA4 <= "11100000";
when "1000" => O_7SEGDATA4 <= "11111110";
when "1001" => O_7SEGDATA4 <= "11110110";
when "1010" => O_7SEGDATA4 <= "11101110";
when "1011" => O_7SEGDATA4 <= "00111110";
when "1100" => O_7SEGDATA4 <= "00011010";
when "1101" => O_7SEGDATA4 <= "01111010";
when "1110" => O_7SEGDATA4 <= "10011110";
when "1111" => O_7SEGDATA4 <= "10001110";
when others => O_7SEGDATA4 <= "11111111";
end case;
end process;
process(I_DATA2(15 downto 12))
begin
case I_DATA2(15 downto 12) is
when "0000" => O_7SEGDATA5 <= "11111100";
when "0001" => O_7SEGDATA5 <= "01100000";
when "0010" => O_7SEGDATA5 <= "11011010";
when "0011" => O_7SEGDATA5 <= "11110010";
when "0100" => O_7SEGDATA5 <= "01100110";
when "0101" => O_7SEGDATA5 <= "10110110";
when "0110" => O_7SEGDATA5 <= "10111110";
when "0111" => O_7SEGDATA5 <= "11100000";
when "1000" => O_7SEGDATA5 <= "11111110";
when "1001" => O_7SEGDATA5 <= "11110110";
when "1010" => O_7SEGDATA5 <= "11101110";
when "1011" => O_7SEGDATA5 <= "00111110";
when "1100" => O_7SEGDATA5 <= "00011010";
when "1101" => O_7SEGDATA5 <= "01111010";
when "1110" => O_7SEGDATA5 <= "10011110";
when "1111" => O_7SEGDATA5 <= "10001110";
when others => O_7SEGDATA5 <= "11111111";
end case;
end process;
process(I_DATA2(11 downto 8))
begin
case I_DATA2(11 downto 8) is
when "0000" => O_7SEGDATA6 <= "11111100";
when "0001" => O_7SEGDATA6 <= "01100000";
when "0010" => O_7SEGDATA6 <= "11011010";
when "0011" => O_7SEGDATA6 <= "11110010";
when "0100" => O_7SEGDATA6 <= "01100110";
when "0101" => O_7SEGDATA6 <= "10110110";
when "0110" => O_7SEGDATA6 <= "10111110";
when "0111" => O_7SEGDATA6 <= "11100000";
when "1000" => O_7SEGDATA6 <= "11111110";
when "1001" => O_7SEGDATA6 <= "11110110";
when "1010" => O_7SEGDATA6 <= "11101110";
when "1011" => O_7SEGDATA6 <= "00111110";
when "1100" => O_7SEGDATA6 <= "00011010";
when "1101" => O_7SEGDATA6 <= "01111010";
when "1110" => O_7SEGDATA6 <= "10011110";
when "1111" => O_7SEGDATA6 <= "10001110";
when others => O_7SEGDATA6 <= "11111111";
end case;
end process;
process(I_DATA2( 7 downto 4))
begin
case I_DATA2( 7 downto 4) is
when "0000" => O_7SEGDATA7 <= "11111100";
when "0001" => O_7SEGDATA7 <= "01100000";
when "0010" => O_7SEGDATA7 <= "11011010";
when "0011" => O_7SEGDATA7 <= "11110010";
when "0100" => O_7SEGDATA7 <= "01100110";
when "0101" => O_7SEGDATA7 <= "10110110";
when "0110" => O_7SEGDATA7 <= "10111110";
when "0111" => O_7SEGDATA7 <= "11100000";
when "1000" => O_7SEGDATA7 <= "11111110";
when "1001" => O_7SEGDATA7 <= "11110110";
when "1010" => O_7SEGDATA7 <= "11101110";
when "1011" => O_7SEGDATA7 <= "00111110";
when "1100" => O_7SEGDATA7 <= "00011010";
when "1101" => O_7SEGDATA7 <= "01111010";
when "1110" => O_7SEGDATA7 <= "10011110";
when "1111" => O_7SEGDATA7 <= "10001110";
when others => O_7SEGDATA7 <= "11111111";
end case;
end process;
process(I_DATA2( 3 downto 0))
begin
case I_DATA2( 3 downto 0) is
when "0000" => O_7SEGDATA8 <= "11111100";
when "0001" => O_7SEGDATA8 <= "01100000";
when "0010" => O_7SEGDATA8 <= "11011010";
when "0011" => O_7SEGDATA8 <= "11110010";
when "0100" => O_7SEGDATA8 <= "01100110";
when "0101" => O_7SEGDATA8 <= "10110110";
when "0110" => O_7SEGDATA8 <= "10111110";
when "0111" => O_7SEGDATA8 <= "11100000";
when "1000" => O_7SEGDATA8 <= "11111110";
when "1001" => O_7SEGDATA8 <= "11110110";
when "1010" => O_7SEGDATA8 <= "11101110";
when "1011" => O_7SEGDATA8 <= "00111110";
when "1100" => O_7SEGDATA8 <= "00011010";
when "1101" => O_7SEGDATA8 <= "01111010";
when "1110" => O_7SEGDATA8 <= "10011110";
when "1111" => O_7SEGDATA8 <= "10001110";
when others => O_7SEGDATA8 <= "11111111";
end case;
end process;
end RTL;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -