📄 s_to_p.vhd
字号:
--Serial convert Parallel
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity S_to_P is
port(
sin : in std_logic;
so1 : out std_logic;
so2 : out std_logic
);
end S_to_P;
architecture behave of S_to_P is
begin
so1 <= (sin or sin) and (sin nand sin);
so2 <= not(sin nand sin);
end behave;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -