⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 charmap.vhd

📁 描述:LED示范、按钮及开关、视频输出、键入、含Xilinx PicoBlaze微处理器的存储器模块
💻 VHD
📖 第 1 页 / 共 5 页
字号:
--Synchrnous ROM storing the image map of characters. Generated automatically
--for details see documentation
--Every character is 8x16
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity CharMap is			
    Port ( CLK: std_logic;
	 	     CHAR_CODE : in std_logic_vector(7 downto 0);
           ROW : in std_logic_vector(3 downto 0);
           COL : in std_logic_vector(2 downto 0);
           CHAR_BIT : out std_logic);
end CharMap;

architecture Behavioral of CharMap is
signal SelectSignal: std_logic_vector(11 downto 0);
signal CharRow: std_logic_vector(0 to 7);

begin
	SelectSignal(11 downto 4)  <= CHAR_CODE(7 downto 0);
	SelectSignal(3 downto 0)   <= ROW(3 downto 0);

	CHAR_BIT <= CharRow( conv_integer(UNSIGNED( COL )) );

	process (CLK)
	begin		
		if (CLK'event) and (CLK = '1') then
		case SelectSignal is
			when X"012" => CharRow <= X"7E";
			when X"013" => CharRow <= X"81";
			when X"014" => CharRow <= X"A5";
			when X"015" => CharRow <= X"81";
			when X"016" => CharRow <= X"81";
			when X"017" => CharRow <= X"A5";
			when X"018" => CharRow <= X"99";
			when X"019" => CharRow <= X"81";
			when X"01A" => CharRow <= X"81";
			when X"01B" => CharRow <= X"7E";
			when X"022" => CharRow <= X"7E";
			when X"023" => CharRow <= X"FF";
			when X"024" => CharRow <= X"DB";
			when X"025" => CharRow <= X"FF";
			when X"026" => CharRow <= X"FF";
			when X"027" => CharRow <= X"DB";
			when X"028" => CharRow <= X"E7";
			when X"029" => CharRow <= X"FF";
			when X"02A" => CharRow <= X"FF";
			when X"02B" => CharRow <= X"7E";
			when X"034" => CharRow <= X"6C";
			when X"035" => CharRow <= X"FE";
			when X"036" => CharRow <= X"FE";
			when X"037" => CharRow <= X"FE";
			when X"038" => CharRow <= X"FE";
			when X"039" => CharRow <= X"7C";
			when X"03A" => CharRow <= X"38";
			when X"03B" => CharRow <= X"10";
			when X"044" => CharRow <= X"10";
			when X"045" => CharRow <= X"38";
			when X"046" => CharRow <= X"7C";
			when X"047" => CharRow <= X"FE";
			when X"048" => CharRow <= X"7C";
			when X"049" => CharRow <= X"38";
			when X"04A" => CharRow <= X"10";
			when X"053" => CharRow <= X"18";
			when X"054" => CharRow <= X"3C";
			when X"055" => CharRow <= X"3C";
			when X"056" => CharRow <= X"E7";
			when X"057" => CharRow <= X"E7";
			when X"058" => CharRow <= X"E7";
			when X"059" => CharRow <= X"18";
			when X"05A" => CharRow <= X"18";
			when X"05B" => CharRow <= X"3C";
			when X"063" => CharRow <= X"18";
			when X"064" => CharRow <= X"3C";
			when X"065" => CharRow <= X"7E";
			when X"066" => CharRow <= X"FF";
			when X"067" => CharRow <= X"FF";
			when X"068" => CharRow <= X"7E";
			when X"069" => CharRow <= X"18";
			when X"06A" => CharRow <= X"18";
			when X"06B" => CharRow <= X"3C";
			when X"076" => CharRow <= X"18";
			when X"077" => CharRow <= X"3C";
			when X"078" => CharRow <= X"3C";
			when X"079" => CharRow <= X"18";
			when X"080" => CharRow <= X"FF";
			when X"081" => CharRow <= X"FF";
			when X"082" => CharRow <= X"FF";
			when X"083" => CharRow <= X"FF";
			when X"084" => CharRow <= X"FF";
			when X"085" => CharRow <= X"FF";
			when X"086" => CharRow <= X"E7";
			when X"087" => CharRow <= X"C3";
			when X"088" => CharRow <= X"C3";
			when X"089" => CharRow <= X"E7";
			when X"08A" => CharRow <= X"FF";
			when X"08B" => CharRow <= X"FF";
			when X"08C" => CharRow <= X"FF";
			when X"08D" => CharRow <= X"FF";
			when X"08E" => CharRow <= X"FF";
			when X"08F" => CharRow <= X"FF";
			when X"095" => CharRow <= X"3C";
			when X"096" => CharRow <= X"66";
			when X"097" => CharRow <= X"42";
			when X"098" => CharRow <= X"42";
			when X"099" => CharRow <= X"66";
			when X"09A" => CharRow <= X"3C";
			when X"0A0" => CharRow <= X"FF";
			when X"0A1" => CharRow <= X"FF";
			when X"0A2" => CharRow <= X"FF";
			when X"0A3" => CharRow <= X"FF";
			when X"0A4" => CharRow <= X"FF";
			when X"0A5" => CharRow <= X"C3";
			when X"0A6" => CharRow <= X"99";
			when X"0A7" => CharRow <= X"BD";
			when X"0A8" => CharRow <= X"BD";
			when X"0A9" => CharRow <= X"99";
			when X"0AA" => CharRow <= X"C3";
			when X"0AB" => CharRow <= X"FF";
			when X"0AC" => CharRow <= X"FF";
			when X"0AD" => CharRow <= X"FF";
			when X"0AE" => CharRow <= X"FF";
			when X"0AF" => CharRow <= X"FF";
			when X"0B2" => CharRow <= X"1E";
			when X"0B3" => CharRow <= X"06";
			when X"0B4" => CharRow <= X"0E";
			when X"0B5" => CharRow <= X"1A";
			when X"0B6" => CharRow <= X"78";
			when X"0B7" => CharRow <= X"CC";
			when X"0B8" => CharRow <= X"CC";
			when X"0B9" => CharRow <= X"CC";
			when X"0BA" => CharRow <= X"CC";
			when X"0BB" => CharRow <= X"78";
			when X"0C2" => CharRow <= X"3C";
			when X"0C3" => CharRow <= X"66";
			when X"0C4" => CharRow <= X"66";
			when X"0C5" => CharRow <= X"66";
			when X"0C6" => CharRow <= X"66";
			when X"0C7" => CharRow <= X"3C";
			when X"0C8" => CharRow <= X"18";
			when X"0C9" => CharRow <= X"7E";
			when X"0CA" => CharRow <= X"18";
			when X"0CB" => CharRow <= X"18";
			when X"0D2" => CharRow <= X"3F";
			when X"0D3" => CharRow <= X"33";
			when X"0D4" => CharRow <= X"3F";
			when X"0D5" => CharRow <= X"30";
			when X"0D6" => CharRow <= X"30";
			when X"0D7" => CharRow <= X"30";
			when X"0D8" => CharRow <= X"30";
			when X"0D9" => CharRow <= X"70";
			when X"0DA" => CharRow <= X"F0";
			when X"0DB" => CharRow <= X"E0";
			when X"0E2" => CharRow <= X"7F";
			when X"0E3" => CharRow <= X"63";
			when X"0E4" => CharRow <= X"7F";
			when X"0E5" => CharRow <= X"63";
			when X"0E6" => CharRow <= X"63";
			when X"0E7" => CharRow <= X"63";
			when X"0E8" => CharRow <= X"63";
			when X"0E9" => CharRow <= X"67";
			when X"0EA" => CharRow <= X"E7";
			when X"0EB" => CharRow <= X"E6";
			when X"0EC" => CharRow <= X"C0";
			when X"0F3" => CharRow <= X"18";
			when X"0F4" => CharRow <= X"18";
			when X"0F5" => CharRow <= X"DB";
			when X"0F6" => CharRow <= X"3C";
			when X"0F7" => CharRow <= X"E7";
			when X"0F8" => CharRow <= X"3C";
			when X"0F9" => CharRow <= X"DB";
			when X"0FA" => CharRow <= X"18";
			when X"0FB" => CharRow <= X"18";
			when X"101" => CharRow <= X"80";
			when X"102" => CharRow <= X"C0";
			when X"103" => CharRow <= X"E0";
			when X"104" => CharRow <= X"F0";
			when X"105" => CharRow <= X"F8";
			when X"106" => CharRow <= X"FE";
			when X"107" => CharRow <= X"F8";
			when X"108" => CharRow <= X"F0";
			when X"109" => CharRow <= X"E0";
			when X"10A" => CharRow <= X"C0";
			when X"10B" => CharRow <= X"80";
			when X"111" => CharRow <= X"02";
			when X"112" => CharRow <= X"06";
			when X"113" => CharRow <= X"0E";
			when X"114" => CharRow <= X"1E";
			when X"115" => CharRow <= X"3E";
			when X"116" => CharRow <= X"FE";
			when X"117" => CharRow <= X"3E";
			when X"118" => CharRow <= X"1E";
			when X"119" => CharRow <= X"0E";
			when X"11A" => CharRow <= X"06";
			when X"11B" => CharRow <= X"02";
			when X"122" => CharRow <= X"18";
			when X"123" => CharRow <= X"3C";
			when X"124" => CharRow <= X"7E";
			when X"125" => CharRow <= X"18";
			when X"126" => CharRow <= X"18";
			when X"127" => CharRow <= X"18";
			when X"128" => CharRow <= X"7E";
			when X"129" => CharRow <= X"3C";
			when X"12A" => CharRow <= X"18";
			when X"132" => CharRow <= X"66";
			when X"133" => CharRow <= X"66";
			when X"134" => CharRow <= X"66";
			when X"135" => CharRow <= X"66";
			when X"136" => CharRow <= X"66";
			when X"137" => CharRow <= X"66";
			when X"138" => CharRow <= X"66";
			when X"13A" => CharRow <= X"66";
			when X"13B" => CharRow <= X"66";
			when X"142" => CharRow <= X"7F";
			when X"143" => CharRow <= X"DB";
			when X"144" => CharRow <= X"DB";
			when X"145" => CharRow <= X"DB";
			when X"146" => CharRow <= X"7B";
			when X"147" => CharRow <= X"1B";
			when X"148" => CharRow <= X"1B";
			when X"149" => CharRow <= X"1B";
			when X"14A" => CharRow <= X"1B";
			when X"14B" => CharRow <= X"1B";
			when X"151" => CharRow <= X"7C";
			when X"152" => CharRow <= X"C6";
			when X"153" => CharRow <= X"60";
			when X"154" => CharRow <= X"38";
			when X"155" => CharRow <= X"6C";
			when X"156" => CharRow <= X"C6";
			when X"157" => CharRow <= X"C6";
			when X"158" => CharRow <= X"6C";
			when X"159" => CharRow <= X"38";
			when X"15A" => CharRow <= X"0C";
			when X"15B" => CharRow <= X"C6";
			when X"15C" => CharRow <= X"7C";
			when X"168" => CharRow <= X"FE";
			when X"169" => CharRow <= X"FE";
			when X"16A" => CharRow <= X"FE";
			when X"16B" => CharRow <= X"FE";
			when X"172" => CharRow <= X"18";
			when X"173" => CharRow <= X"3C";
			when X"174" => CharRow <= X"7E";
			when X"175" => CharRow <= X"18";
			when X"176" => CharRow <= X"18";
			when X"177" => CharRow <= X"18";
			when X"178" => CharRow <= X"7E";
			when X"179" => CharRow <= X"3C";
			when X"17A" => CharRow <= X"18";
			when X"17B" => CharRow <= X"7E";
			when X"182" => CharRow <= X"18";
			when X"183" => CharRow <= X"3C";
			when X"184" => CharRow <= X"7E";
			when X"185" => CharRow <= X"18";
			when X"186" => CharRow <= X"18";
			when X"187" => CharRow <= X"18";
			when X"188" => CharRow <= X"18";
			when X"189" => CharRow <= X"18";
			when X"18A" => CharRow <= X"18";
			when X"18B" => CharRow <= X"18";
			when X"192" => CharRow <= X"18";
			when X"193" => CharRow <= X"18";
			when X"194" => CharRow <= X"18";
			when X"195" => CharRow <= X"18";
			when X"196" => CharRow <= X"18";
			when X"197" => CharRow <= X"18";
			when X"198" => CharRow <= X"18";
			when X"199" => CharRow <= X"7E";
			when X"19A" => CharRow <= X"3C";
			when X"19B" => CharRow <= X"18";
			when X"1A5" => CharRow <= X"18";
			when X"1A6" => CharRow <= X"0C";
			when X"1A7" => CharRow <= X"FE";
			when X"1A8" => CharRow <= X"0C";
			when X"1A9" => CharRow <= X"18";
			when X"1B5" => CharRow <= X"30";
			when X"1B6" => CharRow <= X"60";
			when X"1B7" => CharRow <= X"FE";
			when X"1B8" => CharRow <= X"60";
			when X"1B9" => CharRow <= X"30";
			when X"1C6" => CharRow <= X"C0";
			when X"1C7" => CharRow <= X"C0";
			when X"1C8" => CharRow <= X"C0";
			when X"1C9" => CharRow <= X"FE";
			when X"1D5" => CharRow <= X"28";
			when X"1D6" => CharRow <= X"6C";
			when X"1D7" => CharRow <= X"FE";
			when X"1D8" => CharRow <= X"6C";
			when X"1D9" => CharRow <= X"28";
			when X"1E4" => CharRow <= X"10";
			when X"1E5" => CharRow <= X"38";
			when X"1E6" => CharRow <= X"38";
			when X"1E7" => CharRow <= X"7C";
			when X"1E8" => CharRow <= X"7C";
			when X"1E9" => CharRow <= X"FE";
			when X"1EA" => CharRow <= X"FE";
			when X"1F4" => CharRow <= X"FE";
			when X"1F5" => CharRow <= X"FE";
			when X"1F6" => CharRow <= X"7C";
			when X"1F7" => CharRow <= X"7C";
			when X"1F8" => CharRow <= X"38";
			when X"1F9" => CharRow <= X"38";
			when X"1FA" => CharRow <= X"10";
			when X"212" => CharRow <= X"18";
			when X"213" => CharRow <= X"3C";
			when X"214" => CharRow <= X"3C";
			when X"215" => CharRow <= X"3C";
			when X"216" => CharRow <= X"18";
			when X"217" => CharRow <= X"18";
			when X"218" => CharRow <= X"18";
			when X"21A" => CharRow <= X"18";
			when X"21B" => CharRow <= X"18";
			when X"221" => CharRow <= X"66";
			when X"222" => CharRow <= X"66";
			when X"223" => CharRow <= X"66";
			when X"224" => CharRow <= X"24";
			when X"233" => CharRow <= X"6C";
			when X"234" => CharRow <= X"6C";
			when X"235" => CharRow <= X"FE";
			when X"236" => CharRow <= X"6C";
			when X"237" => CharRow <= X"6C";
			when X"238" => CharRow <= X"6C";
			when X"239" => CharRow <= X"FE";
			when X"23A" => CharRow <= X"6C";
			when X"23B" => CharRow <= X"6C";
			when X"240" => CharRow <= X"18";
			when X"241" => CharRow <= X"18";
			when X"242" => CharRow <= X"7C";
			when X"243" => CharRow <= X"C6";
			when X"244" => CharRow <= X"C2";
			when X"245" => CharRow <= X"C0";
			when X"246" => CharRow <= X"7C";
			when X"247" => CharRow <= X"06";
			when X"248" => CharRow <= X"06";
			when X"249" => CharRow <= X"86";
			when X"24A" => CharRow <= X"C6";
			when X"24B" => CharRow <= X"7C";
			when X"24C" => CharRow <= X"18";
			when X"24D" => CharRow <= X"18";
			when X"254" => CharRow <= X"C2";
			when X"255" => CharRow <= X"C6";
			when X"256" => CharRow <= X"0C";
			when X"257" => CharRow <= X"18";
			when X"258" => CharRow <= X"30";
			when X"259" => CharRow <= X"60";
			when X"25A" => CharRow <= X"C6";
			when X"25B" => CharRow <= X"86";
			when X"262" => CharRow <= X"38";
			when X"263" => CharRow <= X"6C";
			when X"264" => CharRow <= X"6C";
			when X"265" => CharRow <= X"38";
			when X"266" => CharRow <= X"76";
			when X"267" => CharRow <= X"DC";
			when X"268" => CharRow <= X"CC";
			when X"269" => CharRow <= X"CC";

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -