📄 division.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
---------------------------------------------------------------
entity division is
generic (data : integer);
port(clk_in : in std_logic;
clk_out : out std_logic);
end entity division;
--------------------------------------------------------------
architecture div1 of division is
signal clk_outQ : std_logic ;
signal coutQ : integer;
begin
process(clk_in)
begin
if clk_in'event and clk_in='1' then
if coutQ /= (data/2 - 1) then
coutQ <= coutQ + 1;
else clk_outQ <= not clk_outQ;
coutQ <= 0;
end if;
end if;
end process;
clk_out <= clk_outQ;
end architecture div1;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -