⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 count10.vhd

📁 一个用vhdl写的时钟代码
💻 VHD
字号:
--------------------10进制计数器
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity count10 is
port(clk1 : in std_logic;
		 clr1 : in std_logic;
		 carry1 : out std_logic;
		 value1 : out std_logic_vector(3 downto 0));
end entity;
-------------------------------------
architecture behave of count10 is 
begin
	process(clk1,clr1)
	variable temp : std_logic_vector(3 downto 0);
	begin
		if clr1='1' then temp :=(others => '0');
			elsif clk1'event and clk1='1' then
			if temp < 9 then temp := temp + 1;
							carry1 <= '0';
				else temp :=(others => '0');
					 carry1 <= '1';
			end if;
		end if;
		value1 <= temp;
	end process;
end architecture behave;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -