📄 test.v
字号:
`timescale 1ns/1nsmodule test;reg clk;reg CS;reg LATCH;reg [3:0] count;reg [7:0] data_array [0:7];wire SCK;wire SDATA;wire [7:0] DATA;//instanesPtoS u0( .PR_CLK(SCK), .PR_DATA(SDATA), .CLK(clk), .DATA_CS(CS), .DATA_LATCH(LATCH), .DATA(DATA) );//test code begininitial begin clk = 'b0; count = 0; forever #5 clk = ~clk;endinitial begin #5 CS = 'b0; forever #80 CS = ~CS;end initialbegin LATCH = 'b0; #5 LATCH = 'b1; #15 LATCH = 'b0;endinitial begin data_array[0] = 8'b01010101; data_array[1] = 8'b00001111; data_array[2] = 8'b10101010; data_array[3] = 8'b11110000; data_array[4] = 8'b00110011; data_array[5] = 8'b10011001; data_array[6] = 8'b01100110; data_array[7] = 8'b11001100;end always @(posedge CS)begin if(count < 7) count <= count + 1; else if(count == 7) count <= 0; endalways @(negedge CS)begin LATCH = 'b1; #10 LATCH = 'b0;endinitialbegin #1600 $stop;endassign DATA = data_array[count];endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -