⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 armtst_tbw.tfw

📁 Verilog编程
💻 TFW
字号:
// E:\ISE6.1\TST
// Verilog Test fixture created by
// HDL Bencher 6.1i
// Fri Apr 27 09:33:14 2007
// 
// Notes:
// 1) This test fixture has been automatically generated from
//   your Test Bench Waveform
// 2) To use this as a user modifiable test fixture do the following:
//   - Save it as a file with a .tf extension (i.e. File->Save As...)
//   - Add it to your project as a testbench source (i.e. Project->Add Source...)
// 

`timescale 1ns/1ns

`define C_WRITE	4
`define C_L_MODE	0
`define C_P_CHRG	2
`define C_ACTIVE	3
`define s4	4
`define FWIDTH	32
`define OD	4
`define IF1	2
`define s1	1
`define F_ASSERT	2
`define C_NOP	7
`define s0	0
`define FCWIDTH	2
`define Q	25
`define D	10
`define RES	5
`define TCKO	0
`define IF2	3
`define IF0	1
`define N	5
`define C_REFRSH	1
`define BR0	0
`define F_DEASSERT	4
`define FDEPTH	4
`define F_IDLE	1
`define s3	3
`define s2	2
`define C_READ	5
`define F_ASSERT	2
`define F_IDLE	1
`define RES	5
`define state_delay	6

module armtst_tbw;
	reg clkin;
	reg rst;
	reg NCS0_n;
	reg NWE_n;
	reg [4:0] addr;
	reg [15:0] DataIN;
	wire [15:0] out;

	defparam UUT.wordwidth_data = 16;
	defparam UUT.memsize_data = 32;

	armtst UUT (
		.clkin(clkin),
		.rst(rst),
		.NCS0_n(NCS0_n),
		.NWE_n(NWE_n),
		.addr(addr),
		.DataIN(DataIN),
		.out(out)
	);

	integer TX_FILE;
	integer TX_ERROR;

always
begin 			//clock process
	clkin = 1'b0;
	#2
	clkin = 1'b1;
	#2
	#8
	clkin = 1'b0;
	#8
	clkin = 1'b0;
end

initial
begin
	TX_ERROR=0;
	TX_FILE=$fopen("results.txt");

	// --------------------
	rst = 1'b1;
	NCS0_n = 1'b1;
	NWE_n = 1'b1;
	addr = 5'b00000; //0
	DataIN = 16'b0000000000000000; //0
	// --------------------
	#20 // Time=20 ns
	rst = 1'b0;
	// --------------------

	if (TX_ERROR == 0) begin
		$display("No errors or warnings");
		$fdisplay(TX_FILE,"No errors or warnings");
	end else begin
		$display("%d errors found in simulation",TX_ERROR);
		$fdisplay(TX_FILE,"%d errors found in simulation",TX_ERROR);
	end

	$fclose(TX_FILE);
	$stop;

end

task CHECK_out;
	input [15:0] NEXT_out;

	#0 begin
		if (NEXT_out !== out) begin
			$display("Error at time=%dns out=%b, expected=%b",
				$time, out, NEXT_out);
			$fdisplay(TX_FILE,"Error at time=%dns out=%b, expected=%b",
				$time, out, NEXT_out);
			TX_ERROR = TX_ERROR + 1;
		end
	end
endtask

endmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -