📄 note.txt
字号:
library ieee;
use ieee.std_logic_1164.all;
entity notetabs is
port(clk:in std_logic;
toneindex:out integer range 0 to 15);
end ;
architecture one of notetabs is
signal counter:integer range 0 to 138;
begin
cnt8:process(clk)
begin
if counter=138 then counter<=0;
elsif(clk'event and clk='1')then counter<=counter+1;
end if;
end process;
search:process(counter)
begin
case counter is
when 00 =>toneindex<=3;
when 01 =>toneindex<=3;
when 02 =>toneindex<=3;
when 03 =>toneindex<=3;
when 04 =>toneindex<=5;
when 05 =>toneindex<=5;
when 06 =>toneindex<=5;
when 07 =>toneindex<=6;
when 08 =>toneindex<=8;
when 09 =>toneindex<=8;
when 10 =>toneindex<=8;
when 11 =>toneindex<=9;
when 12 =>toneindex<=6;
when 13 =>toneindex<=8;
when 14 =>toneindex<=5;
when 15 =>toneindex<=5;
when 16 =>toneindex<=12;
when 17 =>toneindex<=12;
when 18 =>toneindex<=12;
when 19 =>toneindex<=15;
when 20 =>toneindex<=13;
when 21 =>toneindex<=12;
when 22 =>toneindex<=10;
when 23 =>toneindex<=12;
when 24 =>toneindex<=9;
when 25 =>toneindex<=9;
when 26 =>toneindex<=9 ;
when 27 =>toneindex<= 9;
when 28 =>toneindex<= 9;
when 29 =>toneindex<= 9;
when 30 =>toneindex<= 9;
when 31 =>toneindex<= 0;
when 32 =>toneindex<= 9;
when 33 =>toneindex<= 9;
when 34 =>toneindex<= 9;
when 35 =>toneindex<= 10;
when 36 =>toneindex<= 7;
when 37 =>toneindex<= 7;
when 38 =>toneindex<= 6;
when 39 =>toneindex<= 6;
when 40 =>toneindex<= 5;
when 41 =>toneindex<= 5;
when 42 =>toneindex<= 5;
when 43 =>toneindex<= 6;
when 44 =>toneindex<= 8;
when 45 =>toneindex<= 8;
when 46 =>toneindex<= 9;
when 47 =>toneindex<= 9;
when 48 =>toneindex<= 3;
when 49 =>toneindex<= 3;
when 50 =>toneindex<= 8;
when 51 =>toneindex<= 8;
when 52 =>toneindex<= 6;
when 53 =>toneindex<= 5;
when 54 =>toneindex<= 6;
when 55 =>toneindex<=8 ;
when 56 =>toneindex<=5 ;
when 57 =>toneindex<= 5;
when 58 =>toneindex<= 5;
when 59 =>toneindex<= 5;
when 60 =>toneindex<= 5;
when 61 =>toneindex<= 5;
when 62 =>toneindex<= 5;
when 63 =>toneindex<= 5;
when 64 =>toneindex<= 10;
when 65 =>toneindex<= 10;
when 66 =>toneindex<= 10;
when 67 =>toneindex<= 12;
when 68 =>toneindex<= 7;
when 69 =>toneindex<= 7;
when 70 =>toneindex<= 9;
when 71 =>toneindex<= 9;
when 72 =>toneindex<= 6;
when 73 =>toneindex<= 8;
when 74 =>toneindex<= 5;
when 75 =>toneindex<= 5;
when 76 =>toneindex<= 5;
when 77 =>toneindex<= 5;
when 78 =>toneindex<= 5;
when 79 =>toneindex<= 5;
when 80 =>toneindex<= 3;
when 81 =>toneindex<= 5;
when 82 =>toneindex<= 3;
when 83 =>toneindex<= 3;
when 84 =>toneindex<= 5;
when 85 =>toneindex<= 6;
when 86 =>toneindex<= 7;
when 87 =>toneindex<= 9;
when 88 =>toneindex<= 6;
when 89 =>toneindex<= 6;
when 90 =>toneindex<= 6;
when 91 =>toneindex<= 6;
when 92 =>toneindex<= 6;
when 93 =>toneindex<= 6;
when 94 =>toneindex<= 5;
when 95 =>toneindex<= 6;
when 96 =>toneindex<= 8;
when 97 =>toneindex<= 8;
when 98 =>toneindex<= 8;
when 99 =>toneindex<= 9;
when 100 =>toneindex<= 12;
when 101 =>toneindex<= 12;
when 102 =>toneindex<= 12;
when 103 =>toneindex<= 10;
when 104 =>toneindex<= 9;
when 105 =>toneindex<= 9;
when 106 =>toneindex<= 10;
when 107 =>toneindex<= 9;
when 108 =>toneindex<= 8;
when 109 =>toneindex<= 8;
when 110 =>toneindex<= 6;
when 111 =>toneindex<= 5;
when 112 =>toneindex<= 3;
when 113 =>toneindex<= 3;
when 114 =>toneindex<= 3;
when 115 =>toneindex<= 3;
when 116 =>toneindex<= 8;
when 117 =>toneindex<= 8;
when 118 =>toneindex<= 8;
when 119 =>toneindex<= 8;
when 120 =>toneindex<= 6;
when 121 =>toneindex<=8 ;
when 122 =>toneindex<= 6;
when 123 =>toneindex<= 5;
when 124 =>toneindex<= 3;
when 125 =>toneindex<= 5;
when 126 =>toneindex<= 6;
when 127 =>toneindex<= 8;
when 128 =>toneindex<= 5;
when 129 =>toneindex<= 5;
when 130 =>toneindex<= 5;
when 131 =>toneindex<= 5;
when 132 =>toneindex<= 5;
when 133 =>toneindex<= 5;
when 134 =>toneindex<= 5;
when 135 =>toneindex<= 5;
when 136 =>toneindex<= 0;
when 137 =>toneindex<= 0;
when 138 =>toneindex<= 0;
when others=>null;
end case;
end process;
end;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -