📄 fadder4.vhd.bak
字号:
library ieee;
use ieee.std_logic_1164.all;
entity fadder4 is
port(a,b:in std_logic_vector(0 to 3);
cin:in std_logic;
so:out std_logic_vector(0 to 3);
cout:out std_logic);
end entity fadder4;
architecture behave of fadder4 is
component fadder1
port(a,b,cin:in std_logic;
cout,sum:out std_logic);
end component;
signal c:std_logic_vector(0 to 2);
begin
u1:fadder1 port map(a=>a(0),b=>b(0),cin=>cin,cout=>c(0),sum=>so(0));
u2:fadder1 port map(a=>a(1),b=>b(1),cin=>c(0),cout=>c(1),sum=>so(1));
u3:fadder1 port map(a=>a(2),b=>b(2),cin=>c(1),cout=>c(2),sum=>so(2));
u4:fadder1 port map(a=>a(3),b=>b(3),cin=>c(2),cout=>cout,sum=>so(3));
end behave;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -