⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 lock_19.vhd

📁 对saa7113缓存的逻辑控制单元实现
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
entity lock_19 is
  port(
         addr_in: in std_logic_vector(18 downto 0);
         lock: in std_logic;
         addr_out : out std_logic_vector(18 downto 0));
end entity;
architecture one of lock_19 is 
 begin
   process(lock,addr_in)
    begin
      if lock='1' then 
         addr_out<=addr_in;
       
      end if;
end process;
end one;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -