📄 mul42.vhd
字号:
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:47:12 04/09/08
-- Design Name:
-- Module Name: mul42 - Behavioral
-- Project Name:
-- Target Device:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mul42 is
port(mul42_in:in std_logic_vector(12 downto 0); --msb_lsb
mul42_out:out std_logic_vector(12 downto 0)
);
end mul42;
architecture Behavioral of mul42 is
begin
mul42_out(0)<=mul42_in(5) xor mul42_in(0) xor mul42_in(2) xor mul42_in(6) xor mul42_in(7);
mul42_out(1)<=mul42_in(4) xor mul42_in(2) xor mul42_in(1) xor mul42_in(5) xor mul42_in(12);
mul42_out(2)<=mul42_in(6) xor mul42_in(2) xor mul42_in(3) xor mul42_in(5);
mul42_out(3)<=mul42_in(9) xor mul42_in(4) xor mul42_in(0) xor mul42_in(11) xor mul42_in(5) xor mul42_in(8) xor mul42_in(10) xor mul42_in(2);
mul42_out(4)<=mul42_in(1) xor mul42_in(12) xor mul42_in(8) xor mul42_in(2) xor mul42_in(0) xor mul42_in(7);
mul42_out(5)<=mul42_in(3) xor mul42_in(8) xor mul42_in(9) xor mul42_in(1) xor mul42_in(0) xor mul42_in(2);
mul42_out(6)<=mul42_in(9) xor mul42_in(1) xor mul42_in(2) xor mul42_in(10) xor mul42_in(3) xor mul42_in(0) xor mul42_in(4);
mul42_out(7)<=mul42_in(5) xor mul42_in(2) xor mul42_in(1) xor mul42_in(0) xor mul42_in(11) xor mul42_in(10) xor mul42_in(4) xor mul42_in(3);
mul42_out(8)<=mul42_in(11) xor mul42_in(0) xor mul42_in(2) xor mul42_in(1) xor mul42_in(6) xor mul42_in(3) xor mul42_in(5) xor mul42_in(4) xor mul42_in(12);
mul42_out(9)<=mul42_in(5) xor mul42_in(2) xor mul42_in(12) xor mul42_in(7) xor mul42_in(4) xor mul42_in(6) xor mul42_in(1) xor mul42_in(3);
mul42_out(10)<=mul42_in(5) xor mul42_in(4) xor mul42_in(6) xor mul42_in(0) xor mul42_in(7) xor mul42_in(2) xor mul42_in(8) xor mul42_in(3);
mul42_out(11)<=mul42_in(3) xor mul42_in(0) xor mul42_in(1) xor mul42_in(6) xor mul42_in(8) xor mul42_in(9) xor mul42_in(5) xor mul42_in(4) xor mul42_in(7);
mul42_out(12)<=mul42_in(10) xor mul42_in(2) xor mul42_in(4) xor mul42_in(1) xor mul42_in(9) xor mul42_in(7) xor mul42_in(8) xor mul42_in(5) xor mul42_in(6);
end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -