📄 xue.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
package xue is
constant idle : std_logic_vector( 3 downto 0 ) := "0000";
constant load : std_logic_vector( 3 downto 0 ) := "0001";
constant move : std_logic_vector( 3 downto 0 ) := "0010";
constant addp : std_logic_vector( 3 downto 0 ) := "0011";
constant subp : std_logic_vector( 3 downto 0 ) := "0100";
constant andp : std_logic_vector( 3 downto 0 ) := "0101";
constant orp : std_logic_vector( 3 downto 0 ) := "0110";
constant xorp : std_logic_vector( 3 downto 0 ) := "0111";
constant shrp : std_logic_vector( 3 downto 0 ) := "1000";
constant shlp : std_logic_vector( 3 downto 0 ) := "1001";
constant swap : std_logic_vector( 3 downto 0 ) := "1010";
constant jmp : std_logic_vector( 3 downto 0 ) := "1011";
constant jz : std_logic_vector( 3 downto 0 ) := "1100";
constant read : std_logic_vector( 3 downto 0 ) := "1101";
constant write : std_logic_vector( 3 downto 0 ):= "1110";
constant stop : std_logic_vector( 3 downto 0 ) := "1111";
end xue;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -