📄 main1.vhd
字号:
Library ieee;
Use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
Entity main1 is
Port(key:in std_logic_vector(3 downto 0); --从按键模块输入具体键值
keysign: in std_logic; --按键按下标志
clk:in std_logic;
ring:out std_logic; --响铃标志
dataout12:out std_logic_vector(11 downto 0);--输出给数码管模块
dataled: out std_logic_vector(2 downto 0));--led输出表示当前状态,1为有效。最高位表示顺计时状态,
--最低位表示开始(1)/暂停,次低位表示倒计时状态
End main1;
Architecture stru of main1 is
type state_type is(A0,A1,A2,AK0,AK1,M0,M1,M2,M3,B0,B1,B2,BK0,BK1,changenum);
--A0,A1,A2分别是顺计时复位,开始,暂停
--AK0,AK1分别是其相应的按键等待状态
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -