📄 uart4_top_tb.v
字号:
`timescale 1ns/100psmodule uart4_top_tb;reg clk,rst;reg [4:0] addr;reg [7:0] data_in;wire [7:0] data;reg csn,we,oe;reg [3:0] rxd;wire inter;wire [3:0] txd;initial beginclk <=0;forever #10 clk <= !clk;endinitial beginrst <= 0 ;#20 rst <= 1;#20 rst <= 0;endinitialbeginaddr <= 0;#110 addr <= 12;#20 addr <= 16;end initial begin csn <= 1; #40 csn <= 0; endinitial begin we <= 0; #100 we <= 1 ; #400 we <= 0 ;endinitial begin oe <= 0;endinitial begin data_in <= 0; #110 data_in <= 1; #20 data_in <= 0; //forever #20 data_in <= data_in + 1; endassign data = we ? data_in : 8'bz;Uart4 u0( .clk(clk), .rst(rst), .addr(addr), .data(data), .csn(csn), .inter(inter), .we(we), .oe(oe), .rxd(rxd), .txd(txd) );endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -