⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 one.vhd

📁 用VHDL写成的一个数控分频程序.本例中把64HZ分成1HZ
💻 VHD
字号:
library ieee;                      
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity one is
 port (clk:in std_logic;             --64hz的时针频率;
       t1:out std_logic 
       );
end entity one;
architecture one of one is
signal num: integer range 64 downto 0:=0;
begin 
  process(clk)
--variable num:integer range 65 downto 0:=0;
begin
if clk'event and clk='1' then
   if(num<32)then  num<=num+1;t1<='1' ;
   elsif(num>=32)  then num<=num+1; t1<='0';
end if;
end if;
--if(num=64) then t1<='1';num:=0;
--else t1<='0';
--end if ;
end process;
end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -