⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 s_machine.vhd

📁 DE2板上的hello程序,实现在8个七段译码器上循环显示hello
💻 VHD
字号:
library IEEE;
use IEEE.std_logic_1164.all;

entity s_machine is               --使mux51a从5个循环增加到8个循环
	port
		(
			  sin : in  std_logic_vector(2 downto 0);
			state : in  std_logic_vector(2 downto 0);
			 sout : out std_logic_vector(2 downto 0)
		);
end s_machine;

architecture bhav of s_machine is
begin
	process(state,sin)
		begin
			if sin < "101"  then sout <= sin;
			else sout <= state;
			end if;
	end process;
end bhav;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -