⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 qvgatiming_tb.v

📁 QVGA显示白框的test bench程序
💻 V
字号:
`timescale 1ns/10psmodule qvga_timing_tb();reg  clk1_3;reg  rstn;reg  sync_de;wire desync;wire hsync;wire vsync;wire [7:0]  rout;wire [7:0]  gout;wire [7:0]  bout;wire        clkout;//DEBUGwire [8:0] pixcnt;wire [8:0] linecnt; integer         file_id;integer         write_line_cnt;initial begin // Create Write File   file_id = $fopen("RGB.tbl", "w");   write_line_cnt = 1;     clk1_3 = 1'b0;   rstn = 1'b1;   sync_de = 1'b0;   #100         rstn = 1'b0;   #10000      // 10us low pulse   rstn = 1'b1; $display("Start Simulation DE");   //#18000000 //$display("Start Simulation SYNC");   //sync_de = 1'b1; $display("End Simulation");endalways @(posedge clkout) begin    if(desync) begin        $fwrite(file_id, "%h%h%h \n", rout, gout, bout);    endendalways @(posedge desync) begin    if(write_line_cnt <= 240)         write_line_cnt <= write_line_cnt + 1'b1;    else begin        $fclose(file_id);        $display("End Simulation");        // $finish;    endendalways #18.518518   clk1_3 = ~clk1_3;qvga_timing DUT(     .clk1_3(clk1_3),     .rstn(rstn),     .sync_de(sync_de),     .hsync(hsync),     .vsync(vsync),     .desync(desync),     .rout(rout),     .gout(gout),     .bout(bout),     .clkout(clkout),     .pixcnt(pixcnt),     .linecnt(linecnt));endmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -