📄
字号:
由外部控制的向左或向右移位的可载入的8Bits移位寄存器 - 山城棒棒儿的MATLAB&FPGA世界 - 歪酷博客 Yculblog.comAD
山城棒棒儿的MATLAB&FPGA世界
扬起生命之帆
迈向成功之路
把握自己的人生之航
每天都充满新的希望
2006 三月
日一二三四五六
1234
567891011
12131415161718
19202122232425
262728293031
浏览全部网志 / 按日期总览
网志文件夹
» 查看所有日志
» 杂记
» VC
» FPGA
» Matlab
» 数值计算
» 其他学习
» 个人简介
» 私人空间
» 四方朋友
» MATLAB好书推荐
» 被遗忘的角落
Trackback
站内搜索
友情链接
» 歪酷博客
» 管理博客
» 醒醒
» 圈圈
» 一溜烟儿
» 亚丁小屋
» 深圳理邦仪器
» 王茜英语在线翻译
» 仿真论坛MATLAB板
» MATLAB M-Files DataBase
» mathworks的文件交换站
» 算法网
» 神经网络在线
» mathtools.net
» MATLAB ToolBox
» Kernel Machines
» Boosting Research
» Chih-Jen Lin's Libsvm
» Support vector machine
» mathworks.com/support/product/
» http://www.sciencedirect.com
» http://cyberr.yculblog.com/archive.html
0189237
« 上一篇: 可控制升计数、降计数及载入的4Bit计数器 下一篇: 用block diagram设计电路图 »
由外部控制的向左或向右移位的可载入的8Bits移位寄存器
山城棒棒儿军 @ 2006-02-08 23:16
--*******************************************************************
--* 设计一个可以由外部控制的向左或向右移位的可载入的8Bits移位寄存器
--* 8Bits Shift Right Left
--* And Loadable Register
--* Filename: SRLLO8
--*******************************************************************
library ieee;
use ieee.std_logic_1164.all;
entity SRLLO8 is
port(
clk : in std_logic;
din : in std_logic;
rst : in std_logic;
load: in std_logic;
mode: in std_logic;
dinp: in std_logic_vector(7 downto 0);
q : out std_logic_vector(7 downto 0)
);
end SRLLO8;
architecture SRLLO8_arch of SRLLO8 is
signal qtmp: std_logic_vector(7 downto 0);
begin
process(clk, rst)
begin
if rst = '0' then
qtmp <= "00000000";
elsif clk'event and clk = '1' then
if load = '1' then
qtmp <= dinp;
else
if mode = '1' then
qtmp <= din&qtmp(7 downto 1);
else
qtmp <= qtmp(6 downto 0)&din;
end if;
end if;
end if;
q <= qtmp;
end process;
end SRLLO8_arch;
永久地址 / 评论 / Trackback: http://www.yculblog.com/trackback/c/1113818
你的评论
* 姓名
电邮
个人主页
不公开
* 评论
* 认证码 请输入你看到的数字
请注意遵守中华人民共和国法律法规, 如威胁到本站生存, 将依法向有关部门报告, 同时本站的相关记录可能成为对你不利的证据.
来自日本永远可爱的凯蒂猫Hello Kitty 58起
学舞蹈练武术,一流高手岂是只在网上?
百万大奖送不学英语的人 Dell笔记本,iPod等你来拿
申城舞蹈学校大汇总 个人报名团体价格
办公室综合症爆发,羽毛球、网球缓解压力
相关法律法规
全国人大常委会关于维护互联网安全的决定
中华人民共和国计算机信息系统安全保护条例
中华人民共和国计算机信息网络国际联网管理暂行规定
计算机信息网络国际联网安全保护管理办法
计算机信息系统国际联网保密管理规定
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -