⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 ham_code_16tt.v

📁 HAMMING CODE在偵錯及更正的原理實現
💻 V
字号:
`timescale 1ns/1ns                                                             
                                                                               
module Ham_Code_16TT();

//input	signal                                                                 
reg 		clk,rst;                                                       
reg		ack;                                                           
reg	[15:0]	datain;                                                        
                                                                               
//output signal                                                                
wire	[15:0]	dataout;                                                     
wire		req;                                                           
wire	[11:0]	cout;	                

initial                                                      
begin                                                        
#10 		clk  	<= 0;                                
		rst  	<= 0;
#100		ack	<= 1'b0;
		datain 	<= 16'hffff;//f                                
#200		rst  	<= 1;     
		ack  	<= 1'b1;  
#100		ack	<= 1'b0;      
		datain 	<= 16'heeee;//e      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        		
#100		ack	<= 1'b0;      
		datain 	<= 16'hdddd;//d      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        
#100		ack	<= 1'b0;      
		datain 	<= 16'hcccc;//c      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        
#100		ack	<= 1'b0;      
		datain 	<= 16'hbbbb;//b      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        
#100		ack	<= 1'b0;      
		datain 	<= 16'haaaa;//a      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        		                           
#100		ack	<= 1'b0;      
		datain 	<= 16'h9999;//9      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        
#100		ack	<= 1'b0;      
		datain 	<= 16'h8888;//8      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        
#100		ack	<= 1'b0;      
		datain 	<= 16'h7777;//7      
#200		//rst  	<= 1;           
		ack  	<= 1'b1;        
#100		ack	<= 1'b0;      
		datain 	<= 16'h6666;//6      
#200		//rst  	<= 1;           		                                             
		ack  	<= 1'b1;
#100		ack	<= 1'b0;    
		datain 	<= 16'h5555;//5   
#200		//rst  	<= 1;      
		ack  	<= 1'b1;   
#100		ack	<= 1'b0;    
		datain 	<= 16'h4444;//4   
#200		//rst  	<= 1;      
		ack  	<= 1'b1;   
#100		ack	<= 1'b0;    
		datain 	<= 16'h3333;//3   
#200		//rst  	<= 1;      
		ack  	<= 1'b1;   
#100		ack	<= 1'b0;    
		datain 	<= 16'h2222;//2   
#200		//rst  	<= 1;      
		ack  	<= 1'b1;   
#100		ack	<= 1'b0;    
		datain 	<= 16'h1111;//1   
#200		//rst  	<= 1;      
		ack  	<= 1'b1;   
#100		ack	<= 1'b0;    
		datain 	<= 16'h0000;//0   		
#200		//rst  	<= 1;      		
		ack  	<= 1'b1;   		
		
#500000 $stop;                                                
end                                                          
                                                             
initial                                                      
begin                                                        
     	$dumpfile("Ham_Code_16TT.vcd");                           
        $dumpvars(0,Ham_Code_16TT);                               
#500000 $finish;	                                             
                                                             
end //end initial                                            

//*********************************************************     
//                      TEST_sysclk                             
//*********************************************************     
                                                                
always  #20  clk <= !clk;//clk -> 40us/clk1 cycle      
	                
Ham_Code_16T 	hamc16t	(
			 .clk		(clk	),
			 .rst		(rst	),
			 .ack		(ack	),
			 .datain	(datain	),
			 .cout		(cout	),
			 .dataout	(dataout),
			 .req		(req	)
			);
endmodule			 	                                                       

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -