📄 cntm100v.vhd
字号:
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
--------------------
ENTITY cntm100v IS
PORT(en: IN std_logic;
clr:in std_logic;
clk:in std_logic;
cont:out std_logic;
qh:buffer std_logic_vector(3 downto 0);
ql: buffer std_logic_vector(3 downto 0));
END ;
-----------------------------
ARCHITECTURE beh OF cntm100v IS
BEGIN
cont<='1'when (qh="1001"and ql="1001"and en='1')else '0';
PROCESS(CLK,clr)
BEGIN
IF(clr='0')THEN
qh<="0000";
ql<="0000";
elsif (clk'event and clk='1')then
IF(en='1')THEN
if(ql=9)then
ql<="0000";
if(qh=9)then
qh<="0000";
else
qh<=qh+1;
end if;
else
ql<=ql+1;
end if;
end if;
end if;
end process;
end beh;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -