📄 decode47.vhd
字号:
-------------------------------------------------
--实体名:decode47
--功 能:实现数码显示管的编码显示
--接 口:qin -BCD码输入
-- qout-七段码输出
-------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity decode47 is
port
(qin : in std_logic_vector(3 downto 0);
qout : out std_logic_vector(7 downto 0)
);
end decode47;
architecture behave of decode47 is
begin
with qin select
qout<="00111111" when "0000", --显示0
"00000110" when "0001", --显示1
"01011011" when "0010", --显示2
"01001111" when "0011", --显示3
"01100110" when "0100", --显示4
"01101101" when "0101", --显示5
"01111101" when "0110", --显示6
"00000111" when "0111", --显示7
"01111111" when "1000", --显示8
"01101111" when "1001", --显示9
"01110111" when "1010", --显示A
"01111100" when "1011", --显示B
"00111001" when "1100", --显示C
"01011110" when "1101", --显示D
"01111001" when "1110", --显示E
"01000000" when "1111", --显示-
"11110011" when others;
end behave;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -