📄 baseball_pkg.vhd
字号:
library IEEE;use IEEE.std_logic_1164.all;package baseball_pkg is function LED_dec ( signal hex_in : std_logic_vector(3 downto 0)) return std_logic_vector;end baseball_pkg;package body baseball_pkg is function LED_dec ( signal hex_in : std_logic_vector(3 downto 0)) return std_logic_vector is variable dec_result : std_logic_vector(7 downto 0); begin case hex_in is when "0000"=> -- 0 dec_result := "11111100"; when "0001" => -- 1 dec_result := "01100000"; when "0010" => -- 2 dec_result := "11011010"; when "0011" => -- 3 dec_result := "11110010"; when "0100" => -- 4 dec_result := "01100110"; when "0101" => -- 5 dec_result := "10110110"; when "0110" => -- 6 dec_result := "10111110"; when "0111" => -- 7 dec_result := "11100000"; when "1000" => -- 8 dec_result := "11111110"; when "1001" => -- 9 dec_result := "11110110"; when "1010" => -- A dec_result := "11101110"; when "1011" => -- B dec_result := "00111110"; when "1100" => -- C dec_result := "00011010"; when "1101" => -- D dec_result := "01111010"; when "1110" => -- E dec_result := "10011110"; when "1111" => -- F dec_result := "10001110"; when others => -- don't care for others dec_result := (others => '-'); end case; return dec_result; end; -- function LED_dec end baseball_pkg;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -