⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 encoder_time_post.vhd

📁 16b20b编解码VHDL代码.
💻 VHD
📖 第 1 页 / 共 5 页
字号:
-- Xilinx Vhdl produced by program ngd2vhdl F.23-- Command: -rpw 100 -ar Structure -te ENCODER_TIME_POST -xon false -w -log __projnav/ngd2vhdl.log enc_16b20b.nga ENCODER_TIME_POST.vhd -- Input file: enc_16b20b.nga-- Output file: ENCODER_TIME_POST.vhd-- Design name: enc_16b20b-- Xilinx: C:/Xilinx_WebPACK_51-- # of Entities: 1-- Device: XCR3128XL-6-VQ100-- The output of ngd2vhdl is a simulation model. This file cannot be synthesized,-- or used in any other manner other than simulation. This netlist uses simulation-- primitives which may not represent the true implementation of the device, however-- the netlist is functionally correct. Do not modify this file.-- Model for  ROC (Reset-On-Configuration) Celllibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.VITAL_Timing.all;entity ROC is  generic (InstancePath: STRING := "*";           WIDTH : Time := 100 ns);  port(O : out std_ulogic := '1') ;  attribute VITAL_LEVEL0 of ROC : entity is TRUE;end ROC;architecture ROC_V of ROC isattribute VITAL_LEVEL0 of ROC_V : architecture is TRUE;begin  ONE_SHOT : process  begin    if (WIDTH <= 0 ns) then       assert FALSE report       "*** Error: a positive value of WIDTH must be specified ***"       severity failure;    else       wait for WIDTH;       O <= '0';    end if;    wait;  end process ONE_SHOT;end ROC_V;library IEEE;use IEEE.STD_LOGIC_1164.ALL;library SIMPRIM;use SIMPRIM.VCOMPONENTS.ALL;use SIMPRIM.VPACKAGE.ALL;entity ENCODER_TIME_POST is  port (    clk : in STD_LOGIC := 'X';     dis_in : in STD_LOGIC := 'X';     rst : in STD_LOGIC := 'X';     frame_in_enc : in STD_LOGIC := 'X';     k_char : in STD_LOGIC := 'X';     dis_out : out STD_LOGIC;     frame_out_enc : out STD_LOGIC;     data_trs : in STD_LOGIC_VECTOR ( 15 downto 0 );     serial_data : out STD_LOGIC_VECTOR ( 19 downto 0 )   );end ENCODER_TIME_POST;architecture Structure of ENCODER_TIME_POST is  component ROC    generic (InstancePath: STRING := "*";             WIDTH : Time := 100 ns);    port (O : out STD_ULOGIC := '1');  end component;  signal dis_out_MC_Q : STD_LOGIC;   signal dis_out_MC_Q_tsim_ireg_Q : STD_LOGIC;   signal dis_out_MC_D : STD_LOGIC;   signal clk_II_FCLK : STD_LOGIC;   signal dis_out_MC_D1 : STD_LOGIC;   signal rst_II_UIM : STD_LOGIC;   signal dis_out_MC_UIM : STD_LOGIC;   signal dis_out_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2 : STD_LOGIC;   signal lower_enc_dis_func_n0040 : STD_LOGIC;   signal dis_out_MC_D2_PT_1 : STD_LOGIC;   signal dis_out_MC_D2_PT_2 : STD_LOGIC;   signal dis_out_MC_D2 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_Q : STD_LOGIC;   signal FOOBAR3_ctinst_7 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_D : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_D1 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_prs_state_fft2 : STD_LOGIC;   signal lower_enc_prs_state_fft1 : STD_LOGIC;   signal N_PZ_233 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_D2_PT_1 : STD_LOGIC;   signal disparity_rdy : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_D2_PT_2 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_D2 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft1_MC_D_TFF : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_Q : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_D : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_D1 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_D2_PT_1 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_D2_PT_2 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_D2 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft3_MC_D_TFF : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2_MC_Q : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2_MC_D : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2_MC_D1_PT_0 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2_MC_D1 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2_MC_D2 : STD_LOGIC;   signal lower_enc_dis_func_prs_state_fft2_MC_D_TFF : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_Q : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_D : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_D1 : STD_LOGIC;   signal frame_in_enc_II_UIM : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1 : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_D2_PT_1 : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_D2 : STD_LOGIC;   signal lower_enc_prs_state_fft2_MC_D_TFF : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_Q : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_D : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_D1 : STD_LOGIC;   signal N_PZ_226 : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_D2_PT_1 : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_D2 : STD_LOGIC;   signal lower_enc_prs_state_fft1_MC_D_TFF : STD_LOGIC;   signal N_PZ_226_MC_Q : STD_LOGIC;   signal N_PZ_226_MC_D : STD_LOGIC;   signal N_PZ_226_MC_D1_PT_0 : STD_LOGIC;   signal N_PZ_226_MC_D1 : STD_LOGIC;   signal N_PZ_226_MC_D2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2_MC_Q : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2_MC_D : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2_MC_D1_PT_0 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2_MC_D1 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2_MC_D2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft2_MC_D_TFF : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_Q : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_D : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_D1 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_D2_PT_1 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_D2_PT_2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_D2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft3_MC_D_TFF : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_Q : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_D : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_D1 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_D2_PT_1 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_D2_PT_2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_D2 : STD_LOGIC;   signal lower_enc_enc_8b_10b_prs_state_fft1_MC_D_TFF : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1_MC_Q : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1_MC_D : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1_MC_D1 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1_MC_D2_PT_0 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1_MC_D2_PT_1 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd1_MC_D2 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd2_MC_Q : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd2_MC_R_OR_PRLD : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd2_MC_D : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd2_MC_D1_PT_0 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd2_MC_D1 : STD_LOGIC;   signal lower_enc_s_func_prs_state_ffd2_MC_D2 : STD_LOGIC;   signal N_PZ_233_MC_Q : STD_LOGIC;   signal N_PZ_233_MC_D : STD_LOGIC;   signal N_PZ_233_MC_D1_PT_0 : STD_LOGIC;   signal N_PZ_233_MC_D1 : STD_LOGIC;   signal N_PZ_233_MC_D2 : STD_LOGIC;   signal disparity_rdy_MC_Q : STD_LOGIC;   signal disparity_rdy_MC_R_OR_PRLD : STD_LOGIC;   signal disparity_rdy_MC_D : STD_LOGIC;   signal disparity_rdy_MC_D1 : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1 : STD_LOGIC;   signal disparity_rdy_MC_D2_PT_0 : STD_LOGIC;   signal upper_enc_prs_state_fft2 : STD_LOGIC;   signal upper_enc_prs_state_fft1 : STD_LOGIC;   signal disparity_rdy_MC_D2_PT_1 : STD_LOGIC;   signal disparity_rdy_MC_D2 : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1_MC_Q : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1_MC_R_OR_PRLD : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1_MC_D : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1_MC_D1 : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1_MC_D2_PT_0 : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1_MC_D2_PT_1 : STD_LOGIC;   signal upper_enc_dis_func_prs_state_ffd1_MC_D2 : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_Q : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_R_OR_PRLD : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_D : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_D1 : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_D2_PT_0 : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft2 : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft3 : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft1 : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_D2_PT_1 : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_D2 : STD_LOGIC;   signal upper_enc_prs_state_fft2_MC_D_TFF : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_Q : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_R_OR_PRLD : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_D : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_D1 : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_D2_PT_0 : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_D2_PT_1 : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_D2 : STD_LOGIC;   signal upper_enc_prs_state_fft1_MC_D_TFF : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft2_MC_Q : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft2_MC_R_OR_PRLD : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft2_MC_D : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft2_MC_D1_PT_0 : STD_LOGIC;   signal upper_enc_enc_8b_10b_prs_state_fft2_MC_D1 : STD_LOGIC; 

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -